OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [trunk/] [mp3/] [lib/] [xilinx/] [unisims/] [RAM64X1S_1.v] - Blame information for rev 1765

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 266 lampret
// $Header: /home/marcus/revision_ctrl_test/oc_cvs/cvs/or1k/mp3/lib/xilinx/unisims/RAM64X1S_1.v,v 1.1.1.1 2001-11-04 18:59:50 lampret Exp $
2
 
3
/*
4
 
5
FUNCTION        : 64x1 Static RAM with synchronous write capability
6
 
7
*/
8
 
9
`timescale  100 ps / 10 ps
10
 
11
`celldefine
12
 
13
module RAM64X1S_1 (O, A0, A1, A2, A3, A4, A5, D, WCLK, WE);
14
 
15
    parameter cds_action = "ignore";
16
 
17
    parameter INIT = 64'h0000000000000000;
18
 
19
    output O;
20
 
21
    input  A0, A1, A2, A3, A4, A5, D, WCLK, WE;
22
 
23
    reg  mem [63:0];
24
    wire [5:0] adr;
25
    reg  [6:0] count;
26
    wire d_in, wclk_in, we_in;
27
 
28
    buf b_d    (d_in, D);
29
    buf b_wclk (wclk_in, WCLK);
30
    buf b_we   (we_in, WE);
31
 
32
    buf b_a5 (adr[5], A5);
33
    buf b_a4 (adr[4], A4);
34
    buf b_a3 (adr[3], A3);
35
    buf b_a2 (adr[2], A2);
36
    buf b_a1 (adr[1], A1);
37
    buf b_a0 (adr[0], A0);
38
 
39
    buf b_o (O, o_int);
40
 
41
    buf b_o_int (o_int, mem[adr]);
42
 
43
    initial begin
44
        for (count = 0; count < 64; count = count + 1)
45
            mem[count] <= INIT[count];
46
    end
47
 
48
    always @(negedge wclk_in) begin
49
        if (we_in == 1'b1)
50
            mem[adr] <= d_in;
51
    end
52
 
53
    specify
54
        if (WE)
55
            (WCLK => O) = (1, 1);
56
 
57
        (A5 => O) = (1, 1);
58
        (A4 => O) = (1, 1);
59
        (A3 => O) = (1, 1);
60
        (A2 => O) = (1, 1);
61
        (A1 => O) = (1, 1);
62
        (A0 => O) = (1, 1);
63
    endspecify
64
 
65
endmodule
66
 
67
`endcelldefine

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.