OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [trunk/] [mp3/] [sw/] [support/] [reset-ic.S] - Blame information for rev 1765

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 505 lampret
#include "spr_defs.h"
2
 
3
        .extern _main
4
        .global _reset_except
5
 
6
_reset_except:
7
        l.movhi r0, 0x0
8
        l.slli  r0,r0,16
9
        l.addi  r1,r0,0x0
10
        l.addi  r2,r0,0x0
11
        l.addi  r3,r0,0x0
12
        l.addi  r4,r0,0x0
13
        l.addi  r5,r0,0x0
14
        l.addi  r6,r0,0x0
15
        l.addi  r7,r0,0x0
16
        l.addi  r8,r0,0x0
17
        l.addi  r9,r0,0x1234
18
        l.addi  r10,r0,0x0
19
        l.addi  r11,r0,0x0
20
        l.addi  r12,r0,0x0
21
        l.addi  r13,r0,0x0
22
        l.addi  r14,r0,0x0
23
        l.addi  r15,r0,0x0
24
        l.addi  r16,r0,0x0
25
        l.addi  r17,r0,0x0
26
        l.addi  r18,r0,0x0
27
        l.addi  r19,r0,0x0
28
        l.addi  r20,r0,0x0
29
        l.addi  r21,r0,0x0
30
        l.addi  r22,r0,0x0
31
        l.addi  r23,r0,0x0
32
        l.addi  r24,r0,0x0
33
        l.addi  r25,r0,0x0
34
        l.addi  r26,r0,0x0
35
        l.addi  r27,r0,0x0
36
        l.addi  r28,r0,0x0
37
        l.addi  r29,r0,0x0
38
        l.addi  r30,r0,0x0
39
        l.addi  r31,r0,0x0
40
 
41
        /* Flush IC */
42
        l.addi  r10,r0,0
43
        l.addi  r11,r0,8192
44
loop:
45
        l.mtspr r0,r10,SPR_ICBIR
46
        l.sfne  r10,r11
47
        l.bf    loop
48
        l.addi  r10,r10,16
49
 
50
        /* Enable IC */
51
        l.addi  r10,r0,(SPR_SR_ICE|SPR_SR_SUPV)
52
        l.mtspr r0,r10,SPR_SR
53
        l.nop
54
        l.nop
55
        l.nop
56
        l.nop
57
        l.nop
58
 
59
        /* Set stack pointer */
60
        l.movhi r1,hi(0x801fff00)
61
        l.addi  r1,r1,lo(0x801fff00)
62
        l.addi  r1,r1,-4
63
 
64
        /* Jump to main */
65
        l.movhi r2,hi(_main)
66
        l.ori   r2,r2,lo(_main)
67
        l.jr    r2
68
        l.addi  r2,r0,0
69
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.