OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [trunk/] [newlib-1.10.0/] [newlib/] [libm/] [math/] [kf_sin.c] - Blame information for rev 1773

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 1010 ivang
/* kf_sin.c -- float version of k_sin.c
2
 * Conversion to float by Ian Lance Taylor, Cygnus Support, ian@cygnus.com.
3
 */
4
 
5
/*
6
 * ====================================================
7
 * Copyright (C) 1993 by Sun Microsystems, Inc. All rights reserved.
8
 *
9
 * Developed at SunPro, a Sun Microsystems, Inc. business.
10
 * Permission to use, copy, modify, and distribute this
11
 * software is freely granted, provided that this notice
12
 * is preserved.
13
 * ====================================================
14
 */
15
 
16
#include "fdlibm.h"
17
 
18
#ifdef __STDC__
19
static const float
20
#else
21
static float
22
#endif
23
half =  5.0000000000e-01,/* 0x3f000000 */
24
S1  = -1.6666667163e-01, /* 0xbe2aaaab */
25
S2  =  8.3333337680e-03, /* 0x3c088889 */
26
S3  = -1.9841270114e-04, /* 0xb9500d01 */
27
S4  =  2.7557314297e-06, /* 0x3638ef1b */
28
S5  = -2.5050759689e-08, /* 0xb2d72f34 */
29
S6  =  1.5896910177e-10; /* 0x2f2ec9d3 */
30
 
31
#ifdef __STDC__
32
        float __kernel_sinf(float x, float y, int iy)
33
#else
34
        float __kernel_sinf(x, y, iy)
35
        float x,y; int iy;              /* iy=0 if y is zero */
36
#endif
37
{
38
        float z,r,v;
39
        __int32_t ix;
40
        GET_FLOAT_WORD(ix,x);
41
        ix &= 0x7fffffff;                       /* high word of x */
42
        if(ix<0x32000000)                       /* |x| < 2**-27 */
43
           {if((int)x==0) return x;}             /* generate inexact */
44
        z       =  x*x;
45
        v       =  z*x;
46
        r       =  S2+z*(S3+z*(S4+z*(S5+z*S6)));
47
        if(iy==0) return x+v*(S1+z*r);
48
        else      return x-((z*(half*y-v*r)-y)-v*S1);
49
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.