OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [trunk/] [uclinux/] [uC-libc/] [sysdeps/] [makefile.objs] - Blame information for rev 1765

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 199 simons
OBJ = _clone.o \
2
_exit.o \
3
accept.o \
4
access.o \
5
acct.o \
6
adjtime.o \
7
adjtimex.o \
8
alarm.o \
9
bind.o \
10
bsd_sig.o \
11
chdir.o \
12
chmod.o \
13
chown.o \
14
chroot.o \
15
close.o \
16
closedir.o \
17
connect.o \
18
creat.o \
19
dirfd.o \
20
dup.o \
21
dup2.o \
22
errlist.o \
23
errno_loc.o \
24
execve.o \
25
fchdir.o \
26
fchmod.o \
27
fchown.o \
28
fcntl.o \
29
fdatasync.o \
30
flock.o \
31
fstat.o \
32
fstatfs.o \
33
fsync.o \
34
ftruncate.o \
35
getdents.o \
36
getdnnm.o \
37
getegid.o \
38
geteuid.o \
39
getgid.o \
40
getgrps.o \
41
gethstnm.o \
42
getitmr.o \
43
getpeernam.o \
44
getpgid.o \
45
getpgrp.o \
46
getpgsz.o \
47
getpid.o \
48
getppid.o \
49
getrlimit.o \
50
getrusag.o \
51
getsid.o \
52
getsocknam.o \
53
getsockopt.o \
54
gettod.o \
55
getuid.o \
56
idle.o \
57
ioctl.o \
58
ioperm.o \
59
iopl.o \
60
isatty.o \
61
kernel_version.o \
62
kill.o \
63
link.o \
64
listen.o \
65
llseek.o \
66
lseek.o \
67
lstat.o \
68
mkdir.o \
69
mkfifo.o \
70
mknod.o \
71
mlock.o \
72
mlockall.o \
73
mmap.o \
74
mount.o \
75
mprotect.o \
76
mremap.o \
77
msync.o \
78
munlock.o \
79
munlockall.o \
80
munmap.o \
81
nanosleep.o \
82
nice.o \
83
open.o \
84
opendir.o \
85
pause.o \
86
pipe.o \
87
prev_fstat.o \
88
prev_lstat.o \
89
prev_mknod.o \
90
prev_stat.o \
91
prev_ustat.o \
92
read.o \
93
readdir.o \
94
readlink.o \
95
reboot.o \
96
recv.o \
97
recvfrom.o \
98
recvmsg.o \
99
rename.o \
100
rewinddir.o \
101
rmdir.o \
102
sched_get_priority_max.o \
103
sched_get_priority_min.o \
104
sched_getparam.o \
105
sched_getscheduler.o \
106
sched_rr_get_interval.o \
107
sched_setparam.o \
108
sched_setscheduler.o \
109
sched_yield.o \
110
seekdir.o \
111
select.o \
112
send.o \
113
sendmsg.o \
114
sendto.o \
115
setdnnm.o \
116
setegid.o \
117
seteuid.o \
118
setfsgid.o \
119
setfsuid.o \
120
setgid.o \
121
setgroups.o \
122
sethstnm.o \
123
setitmr.o \
124
setpgid.o \
125
setpgrp.o \
126
setprio.o \
127
setregid.o \
128
setreuid.o \
129
setrlimit.o \
130
setsid.o \
131
setsockopt.o \
132
settod.o \
133
setuid.o \
134
shutdown.o \
135
sigaction.o \
136
sigblock.o \
137
siggtmsk.o \
138
siglist.o \
139
signal.o \
140
sigpause.o \
141
sigpending.o \
142
sigproc.o \
143
sigstmsk.o \
144
socket.o \
145
socketcall.o \
146
socketpair.o \
147
stat.o \
148
statfs.o \
149
stime.o \
150
swapoff.o \
151
swapon.o \
152
symlink.o \
153
sync.o \
154
syscall_flock.o \
155
syscall_poll.o \
156
syscall_readv.o \
157
syscall_writev.o \
158
sysinfo.o \
159
tcgetatr.o \
160
tell.o \
161
telldir.o \
162
time.o \
163
times.o \
164
truncate.o \
165
umask.o \
166
umount.o \
167
uname.o \
168
unlink.o \
169
uselib.o \
170
utime.o \
171
utimes.o \
172
vhangup.o \
173
wait.o \
174
wait3.o \
175
wait4.o \
176
waitpid.o \
177
write.o

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.