OpenCores
URL https://opencores.org/ocsvn/or1k_old/or1k_old/trunk

Subversion Repositories or1k_old

[/] [or1k_old/] [trunk/] [orp/] [orp_soc/] [bench/] [verilog/] [dbg_comm.v] - Blame information for rev 779

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 779 lampret
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  File_communication.v                                        ////
4
////                                                              ////
5
////                                                              ////
6
////  This file is part of the SoC/OpenRISC Development Interface ////
7
////  http://www.opencores.org/cores/DebugInterface/              ////
8
////                                                              ////
9
////                                                              ////
10
////  Author(s):                                                  ////
11
////       Igor Mohor                                             ////
12
////       igorm@opencores.org                                    ////
13
////                                                              ////
14
////                                                              ////
15
////  All additional information is avaliable in the README.txt   ////
16
////  file.                                                       ////
17
////                                                              ////
18
//////////////////////////////////////////////////////////////////////
19
////                                                              ////
20
//// Copyright (C) 2000,2001 Authors                              ////
21
////                                                              ////
22
//// This source file may be used and distributed without         ////
23
//// restriction provided that this copyright statement is not    ////
24
//// removed from the file and that any derivative work contains  ////
25
//// the original copyright notice and the associated disclaimer. ////
26
////                                                              ////
27
//// This source file is free software; you can redistribute it   ////
28
//// and/or modify it under the terms of the GNU Lesser General   ////
29
//// Public License as published by the Free Software Foundation; ////
30
//// either version 2.1 of the License, or (at your option) any   ////
31
//// later version.                                               ////
32
////                                                              ////
33
//// This source is distributed in the hope that it will be       ////
34
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
35
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
36
//// PURPOSE.  See the GNU Lesser General Public License for more ////
37
//// details.                                                     ////
38
////                                                              ////
39
//// You should have received a copy of the GNU Lesser General    ////
40
//// Public License along with this source; if not, download it   ////
41
//// from http://www.opencores.org/lgpl.shtml                     ////
42
////                                                              ////
43
//////////////////////////////////////////////////////////////////////
44
//
45
// CVS Revision History
46
//
47
// $Log: not supported by cvs2svn $
48
// Revision 1.1.1.1  2001/11/04 18:51:07  lampret
49
// First import.
50
//
51
// Revision 1.3  2001/09/24 14:06:13  mohor
52
// Changes connected to the OpenRISC access (SPR read, SPR write).
53
//
54
// Revision 1.2  2001/09/20 10:10:30  mohor
55
// Working version. Few bugs fixed, comments added.
56
//
57
// Revision 1.1.1.1  2001/09/13 13:49:19  mohor
58
// Initial official release.
59
//
60
//
61
//
62
//
63
//
64
 
65
`ifdef DBG_IF_COMM
66
 
67
`include "timescale.v"
68
`include "dbg_defines.v"
69
`include "dbg_tb_defines.v"
70
 
71
`define GDB_IN  "/projects/xess-damjan/sim/run/gdb_in.dat"
72
`define GDB_OUT "/projects/xess-damjan/sim/run/gdb_out.dat"
73
//`define GDB_IN        "/tmp/gdb_in.dat"
74
//`define GDB_OUT       "/tmp/gdb_out.dat"
75
//`define GDB_IN        "../src/gdb_in.dat"
76
//`define GDB_OUT       "../src/gdb_out.dat"
77
 
78
module dbg_comm(P_TMS, P_TCK, P_TRST, P_TDI, P_TDO);
79
 
80
parameter Tp = 1;
81
 
82
output          P_TMS;
83
output          P_TCK;
84
output          P_TRST;
85
output          P_TDI;
86
input           P_TDO;
87
 
88
integer handle1, handle2;
89
reg [4:0] memory[0:0];
90
reg Mclk;
91
reg wb_rst_i;
92
 
93
reg alternator;
94
 
95
reg StartTesting;
96
wire P_TCK;
97
wire P_TRST;
98
wire P_TDI;
99
wire P_TMS;
100
wire P_TDO;
101
 
102
reg [3:0] in_word_r;
103
wire [4:0] in_word;
104
wire [3:0] Temp;
105
 
106
initial
107
begin
108
  alternator = 0;
109
  StartTesting = 0;
110
  wb_rst_i = 0;
111
  #500;
112
  wb_rst_i = 1;
113
  #500;
114
  wb_rst_i = 0;
115
 
116
  #2000;
117
  StartTesting = 1;
118
  $display("StartTesting = 1");
119
 
120
 
121
end
122
 
123
initial
124
begin
125
  wait(StartTesting);
126
  while(1)
127
  begin
128
    #1;
129
    $readmemh(`GDB_OUT, memory);
130
    //#1000;
131
    if(!(memory[0] & 5'b10000))
132
    begin
133
      handle1 = $fopen(`GDB_OUT);
134
      $fwrite(handle1, "%h", 5'b10000 | memory[0]);  // To ack to jp1 that we read dgb_out.dat
135
      $fclose(handle1);
136
    end
137
  end
138
end
139
 
140
assign in_word = memory[0];
141
assign Temp = in_word_r;
142
 
143
always @ (posedge in_word[4] or posedge wb_rst_i)
144
begin
145
  if(wb_rst_i)
146
    in_word_r<=#Tp 5'b0;
147
  else
148
    in_word_r<=#Tp in_word[3:0];
149
end
150
 
151
 
152
//always alternator = #100 ~alternator;
153
 
154
always @ (posedge P_TCK or alternator)
155
begin
156
  handle2 = $fopen(`GDB_IN);
157
  $fdisplay(handle2, "%b", P_TDO);  // Vriting output data to file (TDO)
158
  $fclose(handle2);
159
end
160
 
161
 
162
assign P_TCK  = Temp[0];
163
assign P_TRST = Temp[1];
164
assign P_TDI  = Temp[2];
165
assign P_TMS  = Temp[3];
166
 
167
 
168
 
169
// Generating master clock (RISC clock) 10 MHz
170
initial
171
begin
172
  Mclk<=#Tp 0;
173
  #1 forever #`RISC_CLOCK Mclk<=~Mclk;
174
end
175
 
176
// Generating random number for use in DATAOUT_RISC[31:0]
177
reg [31:0] RandNumb;
178
always @ (posedge Mclk or posedge wb_rst_i)
179
begin
180
  if(wb_rst_i)
181
    RandNumb[31:0]<=#Tp 0;
182
  else
183
    RandNumb[31:0]<=#Tp RandNumb[31:0] + 1;
184
end
185
 
186
wire [31:0] DataIn = RandNumb;
187
 
188
// Connecting dbgTAP module
189
`ifdef UNUSED
190
dbg_top dbg1  (.tms_pad_i(P_TMS), .tck_pad_i(P_TCK), .trst_pad_i(P_TRST), .tdi_pad_i(P_TDI), .tdo_pad_o(P_TDO),
191
               .wb_rst_i(wb_rst_i), .risc_clk_i(Mclk), .risc_addr_o(), .risc_data_i(DataIn),
192
               .risc_data_o(), .wp_i(11'h0), .bp_i(1'b0),
193
               .opselect_o(), .lsstatus_i(4'h0), .istatus_i(2'h0),
194
               .risc_stall_o(), .reset_o()
195
              );
196
`endif
197
 
198
endmodule // TAP
199
 
200
`endif

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.