OpenCores
URL https://opencores.org/ocsvn/or1k_old/or1k_old/trunk

Subversion Repositories or1k_old

[/] [or1k_old/] [trunk/] [rc203soc/] [sw/] [uClinux/] [include/] [linux/] [firewall.h] - Blame information for rev 1782

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 1633 jcastillo
#ifndef __LINUX_FIREWALL_H
2
#define __LINUX_FIREWALL_H
3
 
4
/*
5
 *      Definitions for loadable firewall modules
6
 */
7
 
8
#define FW_BLOCK        0
9
#define FW_ACCEPT       1
10
#define FW_REJECT       (-1)
11
#define FW_REDIRECT     2
12
#define FW_MASQUERADE   3
13
#define FW_SKIP         4
14
 
15
struct firewall_ops
16
{
17
        struct firewall_ops *next;
18
        int (*fw_forward)(struct firewall_ops *this, int pf,
19
                        struct device *dev, void *phdr, void *arg);
20
        int (*fw_input)(struct firewall_ops *this, int pf,
21
                        struct device *dev, void *phdr, void *arg);
22
        int (*fw_output)(struct firewall_ops *this, int pf,
23
                        struct device *dev, void *phdr, void *arg);
24
        /* Data falling in the second 486 cache line isn't used directly
25
           during a firewall call and scan, only by insert/delete and other
26
           unusual cases
27
         */
28
        int fw_pf;              /* Protocol family                      */
29
        int fw_priority;        /* Priority of chosen firewalls         */
30
};
31
 
32
#ifdef __KERNEL__
33
extern int register_firewall(int pf, struct firewall_ops *fw);
34
extern int unregister_firewall(int pf, struct firewall_ops *fw);
35
extern int call_fw_firewall(int pf, struct device *dev, void *phdr, void *arg);
36
extern int call_in_firewall(int pf, struct device *dev, void *phdr, void *arg);
37
extern int call_out_firewall(int pf, struct device *dev, void *phdr, void *arg);
38
extern void fwchain_init(void);
39
#endif
40
 
41
#endif

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.