OpenCores
URL https://opencores.org/ocsvn/or1k_soc_on_altera_embedded_dev_kit/or1k_soc_on_altera_embedded_dev_kit/trunk

Subversion Repositories or1k_soc_on_altera_embedded_dev_kit

[/] [or1k_soc_on_altera_embedded_dev_kit/] [trunk/] [linux-2.6/] [linux-2.6.24/] [arch/] [or32/] [drivers/] [sd_card.h] - Blame information for rev 16

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 16 xianfeng
#ifndef __SD_CARD_H__
2
#define __SD_CARD_H__
3
 
4
 
5
#define SD_BASE_ADD     0x50000000
6
 
7
#define SD_TRANS_TYPE_REG       0x2
8
#define SD_TRANS_CTRL_REG       0x3
9
#define SD_TRANS_STS_REG        0x4
10
#define SD_TRANS_ERROR_REG              0x5
11
#define SD_DIRECT_ACCESS_DATA_REG       0x6
12
#define SD_ADDR_7_0_REG         0x7
13
#define SD_ADDR_15_8_REG        0x8
14
#define SD_ADDR_23_16_REG       0x9
15
#define SD_ADDR_31_24_REG       0xa
16
#define SD_CLK_DEL_REG          0xb
17
#define SD_RX_FIFO_DATA_REG     0x10
18
#define SD_RX_FIFO_DATA_COUNT_MSB       0x12
19
#define SD_RX_FIFO_DATA_COUNT_LSB       0x13
20
#define SD_RX_FIFO_CONTROL_REG          0x14
21
#define SD_TX_FIFO_DATA_REG             0x20
22
#define SD_TX_FIFO_CONTROL_REG          0x24
23
 
24
#define SD_DIRECT_ACCESS        0
25
#define SD_INIT_SD              1
26
#define SD_RW_READ_SD_BLOCK     2
27
#define SD_RW_WRITE_SD_BLOCK    3
28
 
29
#define SD_WRITE_NO_ERROR       0
30
#define SD_WRITE_CMD_ERROR      1
31
#define SD_WRITE_DATA_ERROR     2
32
#define SD_WRITE_BUSY_ERROR     3
33
 
34
#define SD_READ_NO_ERROR        0
35
#define SD_READ_CMD_ERROR       1
36
#define SD_READ_TOKEN_ERROR     2
37
 
38
#define SD_INIT_NO_ERROR        0
39
#define SD_INIT_CMD0_ERROR      1
40
#define SD_INIT_CMD1_ERROR      2
41
 
42
#define REG8(add)  *((volatile unsigned char *)  (add))
43
#define REG16(add) *((volatile unsigned short *) (add))
44
#define REG32(add) *((volatile unsigned long *)  (add))
45
 
46
struct sd_card_dev {
47
        void                    *vir_base;      /* Virtual address for spiMaster */
48
        int                     size;           /* the size of the SD Card */
49
        short                   users;          /* How many users are using me */
50
        short                   media_change;   /* a flag to save the media change */
51
        spinlock_t              lock;           /* lock for request queue */
52
        struct request_queue    *queue;         /* request queue */
53
        struct gendisk          *gd;            /* gendisk */
54
};
55
 
56
 
57
 
58
#endif /* __SD_CARD_H__ */
59
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.