OpenCores
URL https://opencores.org/ocsvn/or1k_soc_on_altera_embedded_dev_kit/or1k_soc_on_altera_embedded_dev_kit/trunk

Subversion Repositories or1k_soc_on_altera_embedded_dev_kit

[/] [or1k_soc_on_altera_embedded_dev_kit/] [trunk/] [soc/] [rtl/] [altera_ddr_ctrl/] [altera_ddr.qip] - Blame information for rev 12

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 xianfeng
set_global_assignment -name IP_TOOL_NAME "DDR High Performance Controller"
2
set_global_assignment -name IP_TOOL_VERSION "9.0"
3
set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "altera_ddr_auk_ddr_hp_controller_wrapper.v"]
4
set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "auk_ddr_hp_controller.vhd"]
5
set_global_assignment -name SEARCH_PATH  [file join $::quartus(qip_path) "." ]
6
set_global_assignment -name SEARCH_PATH [file join $::quartus(qip_path) ddr_high_performance_controller-library ]
7
set_global_assignment -name OCP_FILE [file join $::quartus(qip_path) ddr_high_performance_controller-library/auk_ddr_hp_controller.ocp ]
8
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) altera_ddr.v ]
9
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) altera_ddr_bb.v ]
10
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) altera_ddr.bsf ]
11
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) altera_ddr_auk_ddr_hp_controller_wrapper.vo ]
12
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) altera_ddr.qip ]
13
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) altera_ddr.html ]
14
set_global_assignment -name OCP_FILE [file join $::quartus(qip_path) auk_ddr_hp_controller.ocp ]
15
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) auk_ddr_hp_controller.vhd ]
16
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) altera_ddr_example_driver.v ]
17
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) altera_ddr_example_top.v ]
18
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) altera_ddr_example_top.sdc ]
19
set_global_assignment -name IPA_FILE [file join $::quartus(qip_path) altera_ddr_advisor.ipa ]
20
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) altera_ddr_ex_lfsr8.v ]
21
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) testbench/altera_ddr_example_top_tb.v ]
22
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) testbench/altera_ddr_mem_model.v ]
23
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) testbench/altera_ddr_full_mem_model.v ]
24
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) altera_ddr_pin_assignments.tcl ]

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.