OpenCores
URL https://opencores.org/ocsvn/or1k_soc_on_altera_embedded_dev_kit/or1k_soc_on_altera_embedded_dev_kit/trunk

Subversion Repositories or1k_soc_on_altera_embedded_dev_kit

[/] [or1k_soc_on_altera_embedded_dev_kit/] [trunk/] [soc/] [rtl/] [altera_ddr_ctrl/] [altera_ddr_controller_phy.v] - Blame information for rev 12

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 xianfeng
//Legal Notice: (C)2009 Altera Corporation. All rights reserved.  Your
2
//use of Altera Corporation's design tools, logic functions and other
3
//software and tools, and its AMPP partner logic functions, and any
4
//output files any of the foregoing (including device programming or
5
//simulation files), and any associated documentation or information are
6
//expressly subject to the terms and conditions of the Altera Program
7
//License Subscription Agreement or other applicable license agreement,
8
//including, without limitation, that your use is for the sole purpose
9
//of programming logic devices manufactured by Altera and sold by Altera
10
//or its authorized distributors.  Please refer to the applicable
11
//agreement for further details.
12
 
13
// synthesis translate_off
14
`timescale 1ps / 1ps
15
// synthesis translate_on
16
 
17
// turn off superfluous verilog processor warnings 
18
// altera message_level Level1 
19
// altera message_off 10034 10035 10036 10037 10230 10240 10030 
20
 
21
module altera_ddr_controller_phy (
22
                                   // inputs:
23
                                    dqs_delay_ctrl_import,
24
                                    dqs_offset_delay_ctrl,
25
                                    global_reset_n,
26
                                    local_address,
27
                                    local_autopch_req,
28
                                    local_be,
29
                                    local_burstbegin,
30
                                    local_powerdn_req,
31
                                    local_read_req,
32
                                    local_refresh_req,
33
                                    local_self_rfsh_req,
34
                                    local_size,
35
                                    local_wdata,
36
                                    local_write_req,
37
                                    oct_ctl_rs_value,
38
                                    oct_ctl_rt_value,
39
                                    pll_phasecounterselect,
40
                                    pll_phasestep,
41
                                    pll_phaseupdown,
42
                                    pll_reconfig,
43
                                    pll_reconfig_counter_param,
44
                                    pll_reconfig_counter_type,
45
                                    pll_reconfig_data_in,
46
                                    pll_reconfig_enable,
47
                                    pll_reconfig_read_param,
48
                                    pll_reconfig_soft_reset_en_n,
49
                                    pll_reconfig_write_param,
50
                                    pll_ref_clk,
51
                                    soft_reset_n,
52
 
53
                                   // outputs:
54
                                    aux_full_rate_clk,
55
                                    aux_half_rate_clk,
56
                                    aux_scan_clk,
57
                                    aux_scan_clk_reset_n,
58
                                    dll_reference_clk,
59
                                    dqs_delay_ctrl_export,
60
                                    local_init_done,
61
                                    local_powerdn_ack,
62
                                    local_rdata,
63
                                    local_rdata_error,
64
                                    local_rdata_valid,
65
                                    local_ready,
66
                                    local_refresh_ack,
67
                                    local_self_rfsh_ack,
68
                                    local_wdata_req,
69
                                    mem_addr,
70
                                    mem_ba,
71
                                    mem_cas_n,
72
                                    mem_cke,
73
                                    mem_clk,
74
                                    mem_clk_n,
75
                                    mem_cs_n,
76
                                    mem_dm,
77
                                    mem_dq,
78
                                    mem_dqs,
79
                                    mem_dqsn,
80
                                    mem_odt,
81
                                    mem_ras_n,
82
                                    mem_reset_n,
83
                                    mem_we_n,
84
                                    phy_clk,
85
                                    pll_phase_done,
86
                                    pll_reconfig_busy,
87
                                    pll_reconfig_clk,
88
                                    pll_reconfig_data_out,
89
                                    pll_reconfig_reset,
90
                                    reset_phy_clk_n,
91
                                    reset_request_n
92
                                 )
93
;
94
 
95
  output           aux_full_rate_clk;
96
  output           aux_half_rate_clk;
97
  output           aux_scan_clk;
98
  output           aux_scan_clk_reset_n;
99
  output           dll_reference_clk;
100
  output  [  5: 0] dqs_delay_ctrl_export;
101
  output           local_init_done;
102
  output           local_powerdn_ack;
103
  output  [ 31: 0] local_rdata;
104
  output           local_rdata_error;
105
  output           local_rdata_valid;
106
  output           local_ready;
107
  output           local_refresh_ack;
108
  output           local_self_rfsh_ack;
109
  output           local_wdata_req;
110
  output  [ 12: 0] mem_addr;
111
  output  [  1: 0] mem_ba;
112
  output           mem_cas_n;
113
  output  [  0: 0] mem_cke;
114
  inout   [  0: 0] mem_clk;
115
  inout   [  0: 0] mem_clk_n;
116
  output  [  0: 0] mem_cs_n;
117
  output  [  1: 0] mem_dm;
118
  inout   [ 15: 0] mem_dq;
119
  inout   [  1: 0] mem_dqs;
120
  inout   [  1: 0] mem_dqsn;
121
  output  [  0: 0] mem_odt;
122
  output           mem_ras_n;
123
  output           mem_reset_n;
124
  output           mem_we_n;
125
  output           phy_clk;
126
  output           pll_phase_done;
127
  output           pll_reconfig_busy;
128
  output           pll_reconfig_clk;
129
  output  [  8: 0] pll_reconfig_data_out;
130
  output           pll_reconfig_reset;
131
  output           reset_phy_clk_n;
132
  output           reset_request_n;
133
  input   [  5: 0] dqs_delay_ctrl_import;
134
  input   [  5: 0] dqs_offset_delay_ctrl;
135
  input            global_reset_n;
136
  input   [ 22: 0] local_address;
137
  input            local_autopch_req;
138
  input   [  3: 0] local_be;
139
  input            local_burstbegin;
140
  input            local_powerdn_req;
141
  input            local_read_req;
142
  input            local_refresh_req;
143
  input            local_self_rfsh_req;
144
  input   [  1: 0] local_size;
145
  input   [ 31: 0] local_wdata;
146
  input            local_write_req;
147
  input   [ 13: 0] oct_ctl_rs_value;
148
  input   [ 13: 0] oct_ctl_rt_value;
149
  input   [  3: 0] pll_phasecounterselect;
150
  input            pll_phasestep;
151
  input            pll_phaseupdown;
152
  input            pll_reconfig;
153
  input   [  2: 0] pll_reconfig_counter_param;
154
  input   [  3: 0] pll_reconfig_counter_type;
155
  input   [  8: 0] pll_reconfig_data_in;
156
  input            pll_reconfig_enable;
157
  input            pll_reconfig_read_param;
158
  input            pll_reconfig_soft_reset_en_n;
159
  input            pll_reconfig_write_param;
160
  input            pll_ref_clk;
161
  input            soft_reset_n;
162
 
163
  wire             aux_full_rate_clk;
164
  wire             aux_half_rate_clk;
165
  wire             aux_scan_clk;
166
  wire             aux_scan_clk_reset_n;
167
  wire    [  1: 0] bank_addr;
168
  wire    [  7: 0] col_addr;
169
  wire    [  3: 0] control_be_width;
170
  wire             cs_addr;
171
  wire    [ 12: 0] ctl_addr_repl;
172
  wire    [ 12: 0] ctl_addr_sig;
173
  wire    [  1: 0] ctl_ba_repl;
174
  wire    [  1: 0] ctl_ba_sig;
175
  wire    [  1: 0] ctl_cal_byte_lane_sel_n_sig;
176
  wire             ctl_cal_fail_sig;
177
  wire             ctl_cal_success_sig;
178
  wire             ctl_cas_n_repl;
179
  wire             ctl_cas_n_sig;
180
  wire             ctl_cke_h_sig;
181
  wire             ctl_cke_l_sig;
182
  wire             ctl_cke_repl;
183
  wire             ctl_cs_n_repl;
184
  wire             ctl_cs_n_sig;
185
  wire    [  3: 0] ctl_dm_sig;
186
  wire    [  1: 0] ctl_doing_rd_sig;
187
  wire    [  1: 0] ctl_dqs_burst_sig;
188
  wire             ctl_mem_clk_disable_sig;
189
  wire             ctl_odt_repl;
190
  wire             ctl_odt_sig;
191
  wire             ctl_ras_n_repl;
192
  wire             ctl_ras_n_sig;
193
  wire    [ 31: 0] ctl_rdata_sig;
194
  wire             ctl_rdata_valid_sig;
195
  wire    [  4: 0] ctl_rlat_sig;
196
  wire             ctl_rst_n_sig;
197
  wire    [ 31: 0] ctl_wdata_sig;
198
  wire    [  1: 0] ctl_wdata_valid_sig;
199
  wire             ctl_we_n_repl;
200
  wire             ctl_we_n_sig;
201
  wire    [  4: 0] ctl_wlat_sig;
202
  wire    [ 31: 0] dbg_rd_data_sig;
203
  wire             dbg_waitrequest_sig;
204
  wire             dll_reference_clk;
205
  wire    [  5: 0] dqs_delay_ctrl_export;
206
  wire    [  3: 0] local_be_sig;
207
  wire             local_init_done;
208
  wire             local_powerdn_ack;
209
  wire    [ 31: 0] local_rdata;
210
  wire             local_rdata_error;
211
  wire    [ 31: 0] local_rdata_sig;
212
  wire             local_rdata_valid;
213
  wire             local_ready;
214
  wire             local_refresh_ack;
215
  wire             local_self_rfsh_ack;
216
  wire             local_wdata_req;
217
  wire    [ 31: 0] local_wdata_sig;
218
  wire    [ 12: 0] mem_addr;
219
  wire    [  1: 0] mem_ba;
220
  wire             mem_cas_n;
221
  wire    [  0: 0] mem_cke;
222
  wire    [  0: 0] mem_clk;
223
  wire    [  0: 0] mem_clk_n;
224
  wire    [  0: 0] mem_cs_n;
225
  wire    [  1: 0] mem_dm;
226
  wire    [ 15: 0] mem_dq;
227
  wire    [  1: 0] mem_dqs;
228
  wire    [  1: 0] mem_dqsn;
229
  wire    [  0: 0] mem_odt;
230
  wire             mem_ras_n;
231
  wire             mem_reset_n;
232
  wire             mem_we_n;
233
  wire             phy_clk;
234
  wire             phy_clk_sig;
235
  wire             pll_phase_done;
236
  wire             pll_reconfig_busy;
237
  wire             pll_reconfig_clk;
238
  wire    [  8: 0] pll_reconfig_data_out;
239
  wire             pll_reconfig_reset;
240
  wire             reset_phy_clk_n;
241
  wire             reset_phy_clk_n_sig;
242
  wire             reset_request_n;
243
  wire    [ 12: 0] row_addr;
244
  assign local_wdata_sig[31 : 0] = local_wdata[31 : 0];
245
  assign local_be_sig[3 : 0] = local_be[3 : 0];
246
  assign local_rdata = local_rdata_sig[31 : 0];
247
  assign ctl_mem_clk_disable_sig = 0;
248
  assign ctl_cal_byte_lane_sel_n_sig = 0;
249
  assign cs_addr = 0;
250
  //
251
 
252
 
253
  assign bank_addr = local_address[22 : 21];
254
 
255
  assign row_addr = local_address[20 : 8];
256
  assign col_addr = local_address[7 : 0];
257
  assign phy_clk = phy_clk_sig;
258
  assign reset_phy_clk_n = reset_phy_clk_n_sig;
259
  altera_ddr_auk_ddr_hp_controller_wrapper altera_ddr_auk_ddr_hp_controller_wrapper_inst
260
    (
261
      .clk (phy_clk_sig),
262
      .control_be (control_be_width),
263
      .control_dm (ctl_dm_sig),
264
      .control_doing_rd (ctl_doing_rd_sig),
265
      .control_doing_wr (),
266
      .control_dqs_burst (ctl_dqs_burst_sig),
267
      .control_rdata (ctl_rdata_sig),
268
      .control_rdata_valid (ctl_rdata_valid_sig),
269
      .control_wdata (ctl_wdata_sig),
270
      .control_wdata_valid (ctl_wdata_valid_sig),
271
      .control_wlat (ctl_wlat_sig),
272
      .ddr_a (ctl_addr_sig),
273
      .ddr_ba (ctl_ba_sig),
274
      .ddr_cas_n (ctl_cas_n_sig),
275
      .ddr_cke_h (ctl_cke_h_sig),
276
      .ddr_cke_l (ctl_cke_l_sig),
277
      .ddr_cs_n (ctl_cs_n_sig),
278
      .ddr_odt (ctl_odt_sig),
279
      .ddr_ras_n (ctl_ras_n_sig),
280
      .ddr_we_n (ctl_we_n_sig),
281
      .local_autopch_req (local_autopch_req),
282
      .local_bank_addr (bank_addr),
283
      .local_be (local_be_sig),
284
      .local_burstbegin (local_burstbegin),
285
      .local_col_addr (col_addr),
286
      .local_cs_addr (cs_addr),
287
      .local_init_done (local_init_done),
288
      .local_powerdn_ack (local_powerdn_ack),
289
      .local_powerdn_req (local_powerdn_req),
290
      .local_rdata (local_rdata_sig),
291
      .local_rdata_valid (local_rdata_valid),
292
      .local_read_req (local_read_req),
293
      .local_ready (local_ready),
294
      .local_refresh_ack (local_refresh_ack),
295
      .local_refresh_req (local_refresh_req),
296
      .local_row_addr (row_addr),
297
      .local_self_rfsh_ack (local_self_rfsh_ack),
298
      .local_self_rfsh_req (local_self_rfsh_req),
299
      .local_size (local_size[1 : 0]),
300
      .local_wdata (local_wdata_sig),
301
      .local_wdata_req (local_wdata_req),
302
      .local_write_req (local_write_req),
303
      .reset_n (reset_phy_clk_n_sig),
304
      .seq_cal_complete (ctl_cal_success_sig)
305
    );
306
 
307
 
308
  assign ctl_addr_repl = ctl_addr_sig;
309
  assign ctl_ba_repl = ctl_ba_sig;
310
  assign ctl_odt_repl = ctl_odt_sig;
311
  assign ctl_cas_n_repl = ctl_cas_n_sig;
312
  assign ctl_ras_n_repl = ctl_ras_n_sig;
313
  assign ctl_we_n_repl = ctl_we_n_sig;
314
  assign ctl_cke_repl = ctl_cke_l_sig;
315
  assign ctl_cs_n_repl = ctl_cs_n_sig;
316
  assign ctl_rst_n_sig = 1;
317
  altera_ddr_phy altera_ddr_phy_inst
318
    (
319
      .aux_full_rate_clk (aux_full_rate_clk),
320
      .aux_half_rate_clk (aux_half_rate_clk),
321
      .ctl_addr (ctl_addr_repl),
322
      .ctl_ba (ctl_ba_repl),
323
      .ctl_cal_byte_lane_sel_n (ctl_cal_byte_lane_sel_n_sig),
324
      .ctl_cal_fail (ctl_cal_fail_sig),
325
      .ctl_cal_req (1'b0),
326
      .ctl_cal_success (ctl_cal_success_sig),
327
      .ctl_cas_n (ctl_cas_n_repl),
328
      .ctl_cke (ctl_cke_repl),
329
      .ctl_clk (phy_clk_sig),
330
      .ctl_cs_n (ctl_cs_n_repl),
331
      .ctl_dm (ctl_dm_sig),
332
      .ctl_doing_rd (ctl_doing_rd_sig),
333
      .ctl_dqs_burst (ctl_dqs_burst_sig),
334
      .ctl_mem_clk_disable (ctl_mem_clk_disable_sig),
335
      .ctl_odt (ctl_odt_repl),
336
      .ctl_ras_n (ctl_ras_n_repl),
337
      .ctl_rdata (ctl_rdata_sig),
338
      .ctl_rdata_valid (ctl_rdata_valid_sig),
339
      .ctl_reset_n (reset_phy_clk_n_sig),
340
      .ctl_rlat (ctl_rlat_sig),
341
      .ctl_rst_n (ctl_rst_n_sig),
342
      .ctl_wdata (ctl_wdata_sig),
343
      .ctl_wdata_valid (ctl_wdata_valid_sig),
344
      .ctl_we_n (ctl_we_n_repl),
345
      .ctl_wlat (ctl_wlat_sig),
346
      .dbg_addr (13'b0),
347
      .dbg_clk (phy_clk),
348
      .dbg_cs (1'b0),
349
      .dbg_rd (1'b0),
350
      .dbg_rd_data (dbg_rd_data_sig),
351
      .dbg_reset_n (reset_phy_clk_n),
352
      .dbg_waitrequest (dbg_waitrequest_sig),
353
      .dbg_wr (1'b0),
354
      .dbg_wr_data (32'b0),
355
      .global_reset_n (global_reset_n),
356
      .mem_addr (mem_addr),
357
      .mem_ba (mem_ba),
358
      .mem_cas_n (mem_cas_n),
359
      .mem_cke (mem_cke),
360
      .mem_clk (mem_clk),
361
      .mem_clk_n (mem_clk_n),
362
      .mem_cs_n (mem_cs_n),
363
      .mem_dm (mem_dm[1 : 0]),
364
      .mem_dq (mem_dq),
365
      .mem_dqs (mem_dqs[1 : 0]),
366
      .mem_dqs_n (mem_dqsn[1 : 0]),
367
      .mem_odt (mem_odt),
368
      .mem_ras_n (mem_ras_n),
369
      .mem_reset_n (mem_reset_n),
370
      .mem_we_n (mem_we_n),
371
      .pll_ref_clk (pll_ref_clk),
372
      .reset_request_n (reset_request_n),
373
      .soft_reset_n (soft_reset_n)
374
    );
375
 
376
 
377
  //<< start europa
378
 
379
endmodule
380
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.