OpenCores
URL https://opencores.org/ocsvn/or1k_soc_on_altera_embedded_dev_kit/or1k_soc_on_altera_embedded_dev_kit/trunk

Subversion Repositories or1k_soc_on_altera_embedded_dev_kit

[/] [or1k_soc_on_altera_embedded_dev_kit/] [trunk/] [soc/] [rtl/] [altera_ddr_ctrl/] [altera_ddr_phy.bsf] - Blame information for rev 12

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 xianfeng
/*
2
WARNING: Do NOT edit the input and output ports in this file in a text
3
editor if you plan to continue editing the block that represents it in
4
the Block Editor! File corruption is VERY likely to occur.
5
*/
6
/*
7
Copyright (C) 1991-2009 Altera Corporation
8
Your use of Altera Corporation's design tools, logic functions
9
and other software and tools, and its AMPP partner logic
10
functions, and any output files from any of the foregoing
11
(including device programming or simulation files), and any
12
associated documentation or information are expressly subject
13
to the terms and conditions of the Altera Program License
14
Subscription Agreement, Altera MegaCore Function License
15
Agreement, or other applicable license agreement, including,
16
without limitation, that your use is for the sole purpose of
17
programming logic devices manufactured by Altera and sold by
18
Altera or its authorized distributors.  Please refer to the
19
applicable agreement for further details.
20
*/
21
(header "symbol" (version "1.1"))
22
(symbol
23
        (rect 16 16 424 528)
24
        (text "altera_ddr_phy" (rect 5 0 104 12)(font "Arial" ))
25
        (text "inst" (rect 8 496 36 508)(font "Arial" ))
26
        (port
27
                (pt 0 32)
28
                (input)
29
                (text "pll_ref_clk" (rect 0 0 77 12)(font "Arial" ))
30
                (text "pll_ref_clk" (rect 21 27 98 39)(font "Arial" ))
31
                (line (pt 0 32)(pt 16 32)(line_width 1))
32
        )
33
        (port
34
                (pt 0 48)
35
                (input)
36
                (text "global_reset_n" (rect 0 0 99 12)(font "Arial" ))
37
                (text "global_reset_n" (rect 21 43 120 55)(font "Arial" ))
38
                (line (pt 0 48)(pt 16 48)(line_width 1))
39
        )
40
        (port
41
                (pt 0 64)
42
                (input)
43
                (text "soft_reset_n" (rect 0 0 84 12)(font "Arial" ))
44
                (text "soft_reset_n" (rect 21 59 105 71)(font "Arial" ))
45
                (line (pt 0 64)(pt 16 64)(line_width 1))
46
        )
47
        (port
48
                (pt 0 80)
49
                (input)
50
                (text "ctl_dqs_burst[1..0]" (rect 0 0 134 12)(font "Arial" ))
51
                (text "ctl_dqs_burst[1..0]" (rect 21 75 155 87)(font "Arial" ))
52
                (line (pt 0 80)(pt 16 80)(line_width 3))
53
        )
54
        (port
55
                (pt 0 96)
56
                (input)
57
                (text "ctl_wdata_valid[1..0]" (rect 0 0 148 12)(font "Arial" ))
58
                (text "ctl_wdata_valid[1..0]" (rect 21 91 169 103)(font "Arial" ))
59
                (line (pt 0 96)(pt 16 96)(line_width 3))
60
        )
61
        (port
62
                (pt 0 112)
63
                (input)
64
                (text "ctl_wdata[31..0]" (rect 0 0 113 12)(font "Arial" ))
65
                (text "ctl_wdata[31..0]" (rect 21 107 134 119)(font "Arial" ))
66
                (line (pt 0 112)(pt 16 112)(line_width 3))
67
        )
68
        (port
69
                (pt 0 128)
70
                (input)
71
                (text "ctl_dm[3..0]" (rect 0 0 84 12)(font "Arial" ))
72
                (text "ctl_dm[3..0]" (rect 21 123 105 135)(font "Arial" ))
73
                (line (pt 0 128)(pt 16 128)(line_width 3))
74
        )
75
        (port
76
                (pt 0 144)
77
                (input)
78
                (text "ctl_addr[12..0]" (rect 0 0 106 12)(font "Arial" ))
79
                (text "ctl_addr[12..0]" (rect 21 139 127 151)(font "Arial" ))
80
                (line (pt 0 144)(pt 16 144)(line_width 3))
81
        )
82
        (port
83
                (pt 0 160)
84
                (input)
85
                (text "ctl_ba[1..0]" (rect 0 0 84 12)(font "Arial" ))
86
                (text "ctl_ba[1..0]" (rect 21 155 105 167)(font "Arial" ))
87
                (line (pt 0 160)(pt 16 160)(line_width 3))
88
        )
89
        (port
90
                (pt 0 176)
91
                (input)
92
                (text "ctl_cas_n[0..0]" (rect 0 0 106 12)(font "Arial" ))
93
                (text "ctl_cas_n[0..0]" (rect 21 171 127 183)(font "Arial" ))
94
                (line (pt 0 176)(pt 16 176)(line_width 3))
95
        )
96
        (port
97
                (pt 0 192)
98
                (input)
99
                (text "ctl_cke[0..0]" (rect 0 0 92 12)(font "Arial" ))
100
                (text "ctl_cke[0..0]" (rect 21 187 113 199)(font "Arial" ))
101
                (line (pt 0 192)(pt 16 192)(line_width 3))
102
        )
103
        (port
104
                (pt 0 208)
105
                (input)
106
                (text "ctl_cs_n[0..0]" (rect 0 0 99 12)(font "Arial" ))
107
                (text "ctl_cs_n[0..0]" (rect 21 203 120 215)(font "Arial" ))
108
                (line (pt 0 208)(pt 16 208)(line_width 3))
109
        )
110
        (port
111
                (pt 0 224)
112
                (input)
113
                (text "ctl_odt[0..0]" (rect 0 0 92 12)(font "Arial" ))
114
                (text "ctl_odt[0..0]" (rect 21 219 113 231)(font "Arial" ))
115
                (line (pt 0 224)(pt 16 224)(line_width 3))
116
        )
117
        (port
118
                (pt 0 240)
119
                (input)
120
                (text "ctl_ras_n[0..0]" (rect 0 0 106 12)(font "Arial" ))
121
                (text "ctl_ras_n[0..0]" (rect 21 235 127 247)(font "Arial" ))
122
                (line (pt 0 240)(pt 16 240)(line_width 3))
123
        )
124
        (port
125
                (pt 0 256)
126
                (input)
127
                (text "ctl_we_n[0..0]" (rect 0 0 99 12)(font "Arial" ))
128
                (text "ctl_we_n[0..0]" (rect 21 251 120 263)(font "Arial" ))
129
                (line (pt 0 256)(pt 16 256)(line_width 3))
130
        )
131
        (port
132
                (pt 0 272)
133
                (input)
134
                (text "ctl_rst_n[0..0]" (rect 0 0 106 12)(font "Arial" ))
135
                (text "ctl_rst_n[0..0]" (rect 21 267 127 279)(font "Arial" ))
136
                (line (pt 0 272)(pt 16 272)(line_width 3))
137
        )
138
        (port
139
                (pt 0 288)
140
                (input)
141
                (text "ctl_mem_clk_disable[0..0]" (rect 0 0 177 12)(font "Arial" ))
142
                (text "ctl_mem_clk_disable[0..0]" (rect 21 283 198 295)(font "Arial" ))
143
                (line (pt 0 288)(pt 16 288)(line_width 3))
144
        )
145
        (port
146
                (pt 0 304)
147
                (input)
148
                (text "ctl_doing_rd[1..0]" (rect 0 0 127 12)(font "Arial" ))
149
                (text "ctl_doing_rd[1..0]" (rect 21 299 148 311)(font "Arial" ))
150
                (line (pt 0 304)(pt 16 304)(line_width 3))
151
        )
152
        (port
153
                (pt 0 320)
154
                (input)
155
                (text "ctl_cal_req" (rect 0 0 77 12)(font "Arial" ))
156
                (text "ctl_cal_req" (rect 21 315 98 327)(font "Arial" ))
157
                (line (pt 0 320)(pt 16 320)(line_width 1))
158
        )
159
        (port
160
                (pt 0 336)
161
                (input)
162
                (text "ctl_cal_byte_lane_sel_n[1..0]" (rect 0 0 205 12)(font "Arial" ))
163
                (text "ctl_cal_byte_lane_sel_n[1..0]" (rect 21 331 226 343)(font "Arial" ))
164
                (line (pt 0 336)(pt 16 336)(line_width 3))
165
        )
166
        (port
167
                (pt 0 352)
168
                (input)
169
                (text "dbg_clk" (rect 0 0 49 12)(font "Arial" ))
170
                (text "dbg_clk" (rect 21 347 70 359)(font "Arial" ))
171
                (line (pt 0 352)(pt 16 352)(line_width 1))
172
        )
173
        (port
174
                (pt 0 368)
175
                (input)
176
                (text "dbg_reset_n" (rect 0 0 77 12)(font "Arial" ))
177
                (text "dbg_reset_n" (rect 21 363 98 375)(font "Arial" ))
178
                (line (pt 0 368)(pt 16 368)(line_width 1))
179
        )
180
        (port
181
                (pt 0 384)
182
                (input)
183
                (text "dbg_addr[12..0]" (rect 0 0 106 12)(font "Arial" ))
184
                (text "dbg_addr[12..0]" (rect 21 379 127 391)(font "Arial" ))
185
                (line (pt 0 384)(pt 16 384)(line_width 3))
186
        )
187
        (port
188
                (pt 0 400)
189
                (input)
190
                (text "dbg_wr" (rect 0 0 42 12)(font "Arial" ))
191
                (text "dbg_wr" (rect 21 395 63 407)(font "Arial" ))
192
                (line (pt 0 400)(pt 16 400)(line_width 1))
193
        )
194
        (port
195
                (pt 0 416)
196
                (input)
197
                (text "dbg_rd" (rect 0 0 42 12)(font "Arial" ))
198
                (text "dbg_rd" (rect 21 411 63 423)(font "Arial" ))
199
                (line (pt 0 416)(pt 16 416)(line_width 1))
200
        )
201
        (port
202
                (pt 0 432)
203
                (input)
204
                (text "dbg_cs" (rect 0 0 42 12)(font "Arial" ))
205
                (text "dbg_cs" (rect 21 427 63 439)(font "Arial" ))
206
                (line (pt 0 432)(pt 16 432)(line_width 1))
207
        )
208
        (port
209
                (pt 0 448)
210
                (input)
211
                (text "dbg_wr_data[31..0]" (rect 0 0 127 12)(font "Arial" ))
212
                (text "dbg_wr_data[31..0]" (rect 21 443 148 455)(font "Arial" ))
213
                (line (pt 0 448)(pt 16 448)(line_width 3))
214
        )
215
        (port
216
                (pt 408 32)
217
                (output)
218
                (text "reset_request_n" (rect 0 0 106 12)(font "Arial" ))
219
                (text "reset_request_n" (rect 281 27 387 39)(font "Arial" ))
220
                (line (pt 408 32)(pt 392 32)(line_width 1))
221
        )
222
        (port
223
                (pt 408 48)
224
                (output)
225
                (text "ctl_clk" (rect 0 0 49 12)(font "Arial" ))
226
                (text "ctl_clk" (rect 338 43 387 55)(font "Arial" ))
227
                (line (pt 408 48)(pt 392 48)(line_width 1))
228
        )
229
        (port
230
                (pt 408 64)
231
                (output)
232
                (text "ctl_reset_n" (rect 0 0 77 12)(font "Arial" ))
233
                (text "ctl_reset_n" (rect 310 59 387 71)(font "Arial" ))
234
                (line (pt 408 64)(pt 392 64)(line_width 1))
235
        )
236
        (port
237
                (pt 408 80)
238
                (output)
239
                (text "ctl_wlat[4..0]" (rect 0 0 99 12)(font "Arial" ))
240
                (text "ctl_wlat[4..0]" (rect 288 75 387 87)(font "Arial" ))
241
                (line (pt 408 80)(pt 392 80)(line_width 3))
242
        )
243
        (port
244
                (pt 408 96)
245
                (output)
246
                (text "ctl_rdata[31..0]" (rect 0 0 113 12)(font "Arial" ))
247
                (text "ctl_rdata[31..0]" (rect 274 91 387 103)(font "Arial" ))
248
                (line (pt 408 96)(pt 392 96)(line_width 3))
249
        )
250
        (port
251
                (pt 408 112)
252
                (output)
253
                (text "ctl_rdata_valid[0..0]" (rect 0 0 148 12)(font "Arial" ))
254
                (text "ctl_rdata_valid[0..0]" (rect 239 107 387 119)(font "Arial" ))
255
                (line (pt 408 112)(pt 392 112)(line_width 3))
256
        )
257
        (port
258
                (pt 408 128)
259
                (output)
260
                (text "ctl_rlat[4..0]" (rect 0 0 99 12)(font "Arial" ))
261
                (text "ctl_rlat[4..0]" (rect 288 123 387 135)(font "Arial" ))
262
                (line (pt 408 128)(pt 392 128)(line_width 3))
263
        )
264
        (port
265
                (pt 408 144)
266
                (output)
267
                (text "ctl_cal_success" (rect 0 0 106 12)(font "Arial" ))
268
                (text "ctl_cal_success" (rect 281 139 387 151)(font "Arial" ))
269
                (line (pt 408 144)(pt 392 144)(line_width 1))
270
        )
271
        (port
272
                (pt 408 160)
273
                (output)
274
                (text "ctl_cal_fail" (rect 0 0 84 12)(font "Arial" ))
275
                (text "ctl_cal_fail" (rect 303 155 387 167)(font "Arial" ))
276
                (line (pt 408 160)(pt 392 160)(line_width 1))
277
        )
278
        (port
279
                (pt 408 176)
280
                (output)
281
                (text "mem_addr[12..0]" (rect 0 0 106 12)(font "Arial" ))
282
                (text "mem_addr[12..0]" (rect 281 171 387 183)(font "Arial" ))
283
                (line (pt 408 176)(pt 392 176)(line_width 3))
284
        )
285
        (port
286
                (pt 408 192)
287
                (output)
288
                (text "mem_ba[1..0]" (rect 0 0 84 12)(font "Arial" ))
289
                (text "mem_ba[1..0]" (rect 303 187 387 199)(font "Arial" ))
290
                (line (pt 408 192)(pt 392 192)(line_width 3))
291
        )
292
        (port
293
                (pt 408 208)
294
                (output)
295
                (text "mem_cas_n" (rect 0 0 63 12)(font "Arial" ))
296
                (text "mem_cas_n" (rect 324 203 387 215)(font "Arial" ))
297
                (line (pt 408 208)(pt 392 208)(line_width 1))
298
        )
299
        (port
300
                (pt 408 224)
301
                (output)
302
                (text "mem_cke[0..0]" (rect 0 0 92 12)(font "Arial" ))
303
                (text "mem_cke[0..0]" (rect 295 219 387 231)(font "Arial" ))
304
                (line (pt 408 224)(pt 392 224)(line_width 3))
305
        )
306
        (port
307
                (pt 408 240)
308
                (output)
309
                (text "mem_cs_n[0..0]" (rect 0 0 99 12)(font "Arial" ))
310
                (text "mem_cs_n[0..0]" (rect 288 235 387 247)(font "Arial" ))
311
                (line (pt 408 240)(pt 392 240)(line_width 3))
312
        )
313
        (port
314
                (pt 408 256)
315
                (output)
316
                (text "mem_dm[1..0]" (rect 0 0 84 12)(font "Arial" ))
317
                (text "mem_dm[1..0]" (rect 303 251 387 263)(font "Arial" ))
318
                (line (pt 408 256)(pt 392 256)(line_width 3))
319
        )
320
        (port
321
                (pt 408 272)
322
                (output)
323
                (text "mem_odt[0..0]" (rect 0 0 92 12)(font "Arial" ))
324
                (text "mem_odt[0..0]" (rect 295 267 387 279)(font "Arial" ))
325
                (line (pt 408 272)(pt 392 272)(line_width 3))
326
        )
327
        (port
328
                (pt 408 288)
329
                (output)
330
                (text "mem_ras_n" (rect 0 0 63 12)(font "Arial" ))
331
                (text "mem_ras_n" (rect 324 283 387 295)(font "Arial" ))
332
                (line (pt 408 288)(pt 392 288)(line_width 1))
333
        )
334
        (port
335
                (pt 408 304)
336
                (output)
337
                (text "mem_we_n" (rect 0 0 56 12)(font "Arial" ))
338
                (text "mem_we_n" (rect 331 299 387 311)(font "Arial" ))
339
                (line (pt 408 304)(pt 392 304)(line_width 1))
340
        )
341
        (port
342
                (pt 408 320)
343
                (output)
344
                (text "mem_reset_n" (rect 0 0 77 12)(font "Arial" ))
345
                (text "mem_reset_n" (rect 310 315 387 327)(font "Arial" ))
346
                (line (pt 408 320)(pt 392 320)(line_width 1))
347
        )
348
        (port
349
                (pt 408 336)
350
                (output)
351
                (text "dbg_rd_data[31..0]" (rect 0 0 127 12)(font "Arial" ))
352
                (text "dbg_rd_data[31..0]" (rect 260 331 387 343)(font "Arial" ))
353
                (line (pt 408 336)(pt 392 336)(line_width 3))
354
        )
355
        (port
356
                (pt 408 352)
357
                (output)
358
                (text "dbg_waitrequest" (rect 0 0 106 12)(font "Arial" ))
359
                (text "dbg_waitrequest" (rect 281 347 387 359)(font "Arial" ))
360
                (line (pt 408 352)(pt 392 352)(line_width 1))
361
        )
362
        (port
363
                (pt 408 368)
364
                (output)
365
                (text "aux_half_rate_clk" (rect 0 0 120 12)(font "Arial" ))
366
                (text "aux_half_rate_clk" (rect 267 363 387 375)(font "Arial" ))
367
                (line (pt 408 368)(pt 392 368)(line_width 1))
368
        )
369
        (port
370
                (pt 408 384)
371
                (output)
372
                (text "aux_full_rate_clk" (rect 0 0 120 12)(font "Arial" ))
373
                (text "aux_full_rate_clk" (rect 267 379 387 391)(font "Arial" ))
374
                (line (pt 408 384)(pt 392 384)(line_width 1))
375
        )
376
        (port
377
                (pt 408 400)
378
                (bidir)
379
                (text "mem_clk[0..0]" (rect 0 0 92 12)(font "Arial" ))
380
                (text "mem_clk[0..0]" (rect 295 395 387 407)(font "Arial" ))
381
                (line (pt 408 400)(pt 392 400)(line_width 3))
382
        )
383
        (port
384
                (pt 408 416)
385
                (bidir)
386
                (text "mem_clk_n[0..0]" (rect 0 0 106 12)(font "Arial" ))
387
                (text "mem_clk_n[0..0]" (rect 281 411 387 423)(font "Arial" ))
388
                (line (pt 408 416)(pt 392 416)(line_width 3))
389
        )
390
        (port
391
                (pt 408 432)
392
                (bidir)
393
                (text "mem_dq[15..0]" (rect 0 0 92 12)(font "Arial" ))
394
                (text "mem_dq[15..0]" (rect 295 427 387 439)(font "Arial" ))
395
                (line (pt 408 432)(pt 392 432)(line_width 3))
396
        )
397
        (port
398
                (pt 408 448)
399
                (bidir)
400
                (text "mem_dqs[1..0]" (rect 0 0 92 12)(font "Arial" ))
401
                (text "mem_dqs[1..0]" (rect 295 443 387 455)(font "Arial" ))
402
                (line (pt 408 448)(pt 392 448)(line_width 3))
403
        )
404
        (port
405
                (pt 408 464)
406
                (bidir)
407
                (text "mem_dqs_n[1..0]" (rect 0 0 106 12)(font "Arial" ))
408
                (text "mem_dqs_n[1..0]" (rect 281 459 387 471)(font "Arial" ))
409
                (line (pt 408 464)(pt 392 464)(line_width 3))
410
        )
411
        (drawing
412
                (rectangle (rect 16 16 392 496)(line_width 1))
413
        )
414
)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.