OpenCores
URL https://opencores.org/ocsvn/or1k_soc_on_altera_embedded_dev_kit/or1k_soc_on_altera_embedded_dev_kit/trunk

Subversion Repositories or1k_soc_on_altera_embedded_dev_kit

[/] [or1k_soc_on_altera_embedded_dev_kit/] [trunk/] [soc/] [rtl/] [altera_ddr_ctrl/] [altera_ddr_phy.qip] - Blame information for rev 12

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 xianfeng
set_global_assignment -name IP_TOOL_NAME "altmemphy"
2
set_global_assignment -name IP_TOOL_VERSION "9.0"
3
set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "altera_ddr_phy_alt_mem_phy_seq_wrapper.v"]
4
set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "altera_ddr_phy_alt_mem_phy_seq.vhd"]
5
set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "altera_ddr_phy.v"]
6
set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "altera_ddr_phy_alt_mem_phy.v"]
7
set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "altera_ddr_phy_alt_mem_phy_pll.v"]
8
set_global_assignment -name SEARCH_PATH  [file join $::quartus(qip_path) "." ]
9
set_global_assignment -name SEARCH_PATH [file join $::quartus(qip_path) altmemphy-library ]
10
set_global_assignment -name OCP_FILE [file join $::quartus(qip_path) altmemphy-library/auk_ddr_hp_controller.ocp ]
11
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) altera_ddr_phy.v ]
12
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) altera_ddr_phy_bb.v ]
13
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) altera_ddr_phy.bsf ]
14
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) altera_ddr_phy_alt_mem_phy_seq_wrapper.vo ]
15
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) altera_ddr_syn.v ]
16
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) altera_ddr_phy.qip ]
17
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) altera_ddr_phy.html ]
18
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) altera_ddr_phy_alt_mem_phy_seq_wrapper.v ]
19
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) altera_ddr_phy_alt_mem_phy_seq.vhd ]
20
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) altera_ddr_phy_alt_mem_phy.v ]
21
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) altera_ddr_phy_alt_mem_phy_pll.v ]
22
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) altera_ddr_phy_pin_assignments.tcl ]
23
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) altera_ddr_phy_ddr_pins.tcl ]
24
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) altera_ddr_phy_report_timing.tcl ]
25
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) altera_ddr_phy_ddr_timing.sdc ]
26
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) altera_ddr_phy_alt_mem_phy_pll.qip ]
27
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) alt_mem_phy_defines.v ]
28
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) altera_ddr_phy.ppf ]

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.