OpenCores
URL https://opencores.org/ocsvn/or1k_soc_on_altera_embedded_dev_kit/or1k_soc_on_altera_embedded_dev_kit/trunk

Subversion Repositories or1k_soc_on_altera_embedded_dev_kit

[/] [or1k_soc_on_altera_embedded_dev_kit/] [trunk/] [soc/] [rtl/] [altera_ddr_ctrl/] [altera_ddr_phy_alt_mem_phy_pll.v] - Blame information for rev 12

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 xianfeng
// megafunction wizard: %ALTPLL%
2
// GENERATION: STANDARD
3
// VERSION: WM1.0
4
// MODULE: altpll 
5
 
6
// ============================================================
7
// File Name: altera_ddr_phy_alt_mem_phy_pll.v
8
// Megafunction Name(s):
9
//                      altpll
10
//
11
// Simulation Library Files(s):
12
//                      altera_mf
13
// ============================================================
14
// ************************************************************
15
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
16
//
17
// 9.0 Build 235 06/17/2009 SP 2 SJ Full Version
18
// ************************************************************
19
 
20
 
21
//Copyright (C) 1991-2009 Altera Corporation
22
//Your use of Altera Corporation's design tools, logic functions 
23
//and other software and tools, and its AMPP partner logic 
24
//functions, and any output files from any of the foregoing 
25
//(including device programming or simulation files), and any 
26
//associated documentation or information are expressly subject 
27
//to the terms and conditions of the Altera Program License 
28
//Subscription Agreement, Altera MegaCore Function License 
29
//Agreement, or other applicable license agreement, including, 
30
//without limitation, that your use is for the sole purpose of 
31
//programming logic devices manufactured by Altera and sold by 
32
//Altera or its authorized distributors.  Please refer to the 
33
//applicable agreement for further details.
34
 
35
 
36
// synopsys translate_off
37
`timescale 1 ps / 1 ps
38
// synopsys translate_on
39
module altera_ddr_phy_alt_mem_phy_pll (
40
        areset,
41
        inclk0,
42
        phasecounterselect,
43
        phasestep,
44
        phaseupdown,
45
        scanclk,
46
        c0,
47
        c1,
48
        c2,
49
        c3,
50
        c4,
51
        locked,
52
        phasedone);
53
 
54
        input     areset;
55
        input     inclk0;
56
        input   [2:0]  phasecounterselect;
57
        input     phasestep;
58
        input     phaseupdown;
59
        input     scanclk;
60
        output    c0;
61
        output    c1;
62
        output    c2;
63
        output    c3;
64
        output    c4;
65
        output    locked;
66
        output    phasedone;
67
`ifndef ALTERA_RESERVED_QIS
68
// synopsys translate_off
69
`endif
70
        tri0      areset;
71
        tri0    [2:0]  phasecounterselect;
72
        tri0      phasestep;
73
        tri0      phaseupdown;
74
`ifndef ALTERA_RESERVED_QIS
75
// synopsys translate_on
76
`endif
77
 
78
        wire [4:0] sub_wire0;
79
        wire  sub_wire6;
80
        wire  sub_wire7;
81
        wire [0:0] sub_wire10 = 1'h0;
82
        wire [4:4] sub_wire5 = sub_wire0[4:4];
83
        wire [3:3] sub_wire4 = sub_wire0[3:3];
84
        wire [2:2] sub_wire3 = sub_wire0[2:2];
85
        wire [1:1] sub_wire2 = sub_wire0[1:1];
86
        wire [0:0] sub_wire1 = sub_wire0[0:0];
87
        wire  c0 = sub_wire1;
88
        wire  c1 = sub_wire2;
89
        wire  c2 = sub_wire3;
90
        wire  c3 = sub_wire4;
91
        wire  c4 = sub_wire5;
92
        wire  locked = sub_wire6;
93
        wire  phasedone = sub_wire7;
94
        wire  sub_wire8 = inclk0;
95
        wire [1:0] sub_wire9 = {sub_wire10, sub_wire8};
96
 
97
        altpll  altpll_component (
98
                                .phasestep (phasestep),
99
                                .phaseupdown (phaseupdown),
100
                                .inclk (sub_wire9),
101
                                .phasecounterselect (phasecounterselect),
102
                                .areset (areset),
103
                                .scanclk (scanclk),
104
                                .clk (sub_wire0),
105
                                .locked (sub_wire6),
106
                                .phasedone (sub_wire7),
107
                                .activeclock (),
108
                                .clkbad (),
109
                                .clkena ({6{1'b1}}),
110
                                .clkloss (),
111
                                .clkswitch (1'b0),
112
                                .configupdate (1'b0),
113
                                .enable0 (),
114
                                .enable1 (),
115
                                .extclk (),
116
                                .extclkena ({4{1'b1}}),
117
                                .fbin (1'b1),
118
                                .fbmimicbidir (),
119
                                .fbout (),
120
                                .pfdena (1'b1),
121
                                .pllena (1'b1),
122
                                .scanaclr (1'b0),
123
                                .scanclkena (1'b1),
124
                                .scandata (1'b0),
125
                                .scandataout (),
126
                                .scandone (),
127
                                .scanread (1'b0),
128
                                .scanwrite (1'b0),
129
                                .sclkout0 (),
130
                                .sclkout1 (),
131
                                .vcooverrange (),
132
                                .vcounderrange ());
133
        defparam
134
                altpll_component.bandwidth_type = "AUTO",
135
                altpll_component.clk0_divide_by = 2,
136
                altpll_component.clk0_duty_cycle = 50,
137
                altpll_component.clk0_multiply_by = 3,
138
                altpll_component.clk0_phase_shift = "0",
139
                altpll_component.clk1_divide_by = 1,
140
                altpll_component.clk1_duty_cycle = 50,
141
                altpll_component.clk1_multiply_by = 3,
142
                altpll_component.clk1_phase_shift = "0",
143
                altpll_component.clk2_divide_by = 1,
144
                altpll_component.clk2_duty_cycle = 50,
145
                altpll_component.clk2_multiply_by = 3,
146
                altpll_component.clk2_phase_shift = "-1667",
147
                altpll_component.clk3_divide_by = 1,
148
                altpll_component.clk3_duty_cycle = 50,
149
                altpll_component.clk3_multiply_by = 3,
150
                altpll_component.clk3_phase_shift = "0",
151
                altpll_component.clk4_divide_by = 1,
152
                altpll_component.clk4_duty_cycle = 50,
153
                altpll_component.clk4_multiply_by = 3,
154
                altpll_component.clk4_phase_shift = "0",
155
                altpll_component.compensate_clock = "CLK1",
156
                altpll_component.inclk0_input_frequency = 20000,
157
                altpll_component.intended_device_family = "Cyclone III",
158
                altpll_component.lpm_type = "altpll",
159
                altpll_component.operation_mode = "NORMAL",
160
                altpll_component.pll_type = "AUTO",
161
                altpll_component.port_activeclock = "PORT_UNUSED",
162
                altpll_component.port_areset = "PORT_USED",
163
                altpll_component.port_clkbad0 = "PORT_UNUSED",
164
                altpll_component.port_clkbad1 = "PORT_UNUSED",
165
                altpll_component.port_clkloss = "PORT_UNUSED",
166
                altpll_component.port_clkswitch = "PORT_UNUSED",
167
                altpll_component.port_configupdate = "PORT_UNUSED",
168
                altpll_component.port_fbin = "PORT_UNUSED",
169
                altpll_component.port_inclk0 = "PORT_USED",
170
                altpll_component.port_inclk1 = "PORT_UNUSED",
171
                altpll_component.port_locked = "PORT_USED",
172
                altpll_component.port_pfdena = "PORT_UNUSED",
173
                altpll_component.port_phasecounterselect = "PORT_USED",
174
                altpll_component.port_phasedone = "PORT_USED",
175
                altpll_component.port_phasestep = "PORT_USED",
176
                altpll_component.port_phaseupdown = "PORT_USED",
177
                altpll_component.port_pllena = "PORT_UNUSED",
178
                altpll_component.port_scanaclr = "PORT_UNUSED",
179
                altpll_component.port_scanclk = "PORT_USED",
180
                altpll_component.port_scanclkena = "PORT_UNUSED",
181
                altpll_component.port_scandata = "PORT_UNUSED",
182
                altpll_component.port_scandataout = "PORT_UNUSED",
183
                altpll_component.port_scandone = "PORT_UNUSED",
184
                altpll_component.port_scanread = "PORT_UNUSED",
185
                altpll_component.port_scanwrite = "PORT_UNUSED",
186
                altpll_component.port_clk0 = "PORT_USED",
187
                altpll_component.port_clk1 = "PORT_USED",
188
                altpll_component.port_clk2 = "PORT_USED",
189
                altpll_component.port_clk3 = "PORT_USED",
190
                altpll_component.port_clk4 = "PORT_USED",
191
                altpll_component.port_clk5 = "PORT_UNUSED",
192
                altpll_component.port_clkena0 = "PORT_UNUSED",
193
                altpll_component.port_clkena1 = "PORT_UNUSED",
194
                altpll_component.port_clkena2 = "PORT_UNUSED",
195
                altpll_component.port_clkena3 = "PORT_UNUSED",
196
                altpll_component.port_clkena4 = "PORT_UNUSED",
197
                altpll_component.port_clkena5 = "PORT_UNUSED",
198
                altpll_component.port_extclk0 = "PORT_UNUSED",
199
                altpll_component.port_extclk1 = "PORT_UNUSED",
200
                altpll_component.port_extclk2 = "PORT_UNUSED",
201
                altpll_component.port_extclk3 = "PORT_UNUSED",
202
                altpll_component.self_reset_on_loss_lock = "OFF",
203
                altpll_component.vco_frequency_control = "MANUAL_PHASE",
204
                altpll_component.vco_phase_shift_step = 104,
205
                altpll_component.width_clock = 5,
206
                altpll_component.width_phasecounterselect = 3;
207
 
208
 
209
endmodule
210
 
211
// ============================================================
212
// CNX file retrieval info
213
// ============================================================
214
// Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0"
215
// Retrieval info: PRIVATE: BANDWIDTH STRING "1.000"
216
// Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "1"
217
// Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz"
218
// Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low"
219
// Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1"
220
// Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0"
221
// Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0"
222
// Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0"
223
// Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "0"
224
// Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0"
225
// Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0"
226
// Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0"
227
// Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c1"
228
// Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "e0"
229
// Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "6"
230
// Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1"
231
// Retrieval info: PRIVATE: DIV_FACTOR1 NUMERIC "1"
232
// Retrieval info: PRIVATE: DIV_FACTOR2 NUMERIC "1"
233
// Retrieval info: PRIVATE: DIV_FACTOR3 NUMERIC "1"
234
// Retrieval info: PRIVATE: DIV_FACTOR4 NUMERIC "1"
235
// Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000"
236
// Retrieval info: PRIVATE: DUTY_CYCLE1 STRING "50.00000000"
237
// Retrieval info: PRIVATE: DUTY_CYCLE2 STRING "50.00000000"
238
// Retrieval info: PRIVATE: DUTY_CYCLE3 STRING "50.00000000"
239
// Retrieval info: PRIVATE: DUTY_CYCLE4 STRING "50.00000000"
240
// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "75.000000"
241
// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE1 STRING "150.000000"
242
// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE2 STRING "150.000000"
243
// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE3 STRING "150.000000"
244
// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE4 STRING "150.000000"
245
// Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0"
246
// Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0"
247
// Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1"
248
// Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "0"
249
// Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0"
250
// Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575"
251
// Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1"
252
// Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "50.000"
253
// Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz"
254
// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000"
255
// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1"
256
// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1"
257
// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz"
258
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone III"
259
// Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1"
260
// Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "1"
261
// Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1"
262
// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "300.000"
263
// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0"
264
// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg"
265
// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT1 STRING "deg"
266
// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT2 STRING "deg"
267
// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT3 STRING "deg"
268
// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT4 STRING "deg"
269
// Retrieval info: PRIVATE: MANUAL_PHASE_SHIFT_STEP_EDIT STRING "104.00000000"
270
// Retrieval info: PRIVATE: MANUAL_PHASE_SHIFT_STEP_UNIT STRING "ps"
271
// Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any"
272
// Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0"
273
// Retrieval info: PRIVATE: MIRROR_CLK1 STRING "0"
274
// Retrieval info: PRIVATE: MIRROR_CLK2 STRING "0"
275
// Retrieval info: PRIVATE: MIRROR_CLK3 STRING "0"
276
// Retrieval info: PRIVATE: MIRROR_CLK4 STRING "0"
277
// Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "1"
278
// Retrieval info: PRIVATE: MULT_FACTOR1 NUMERIC "2"
279
// Retrieval info: PRIVATE: MULT_FACTOR2 NUMERIC "2"
280
// Retrieval info: PRIVATE: MULT_FACTOR3 NUMERIC "2"
281
// Retrieval info: PRIVATE: MULT_FACTOR4 NUMERIC "2"
282
// Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1"
283
// Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "75.00000000"
284
// Retrieval info: PRIVATE: OUTPUT_FREQ1 STRING "150.00000000"
285
// Retrieval info: PRIVATE: OUTPUT_FREQ2 STRING "150.00000000"
286
// Retrieval info: PRIVATE: OUTPUT_FREQ3 STRING "150.00000000"
287
// Retrieval info: PRIVATE: OUTPUT_FREQ4 STRING "150.00000000"
288
// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "1"
289
// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE1 STRING "1"
290
// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE2 STRING "1"
291
// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE3 STRING "1"
292
// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE4 STRING "1"
293
// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz"
294
// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT1 STRING "MHz"
295
// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT2 STRING "MHz"
296
// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT3 STRING "MHz"
297
// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT4 STRING "MHz"
298
// Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "1"
299
// Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "1"
300
// Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000"
301
// Retrieval info: PRIVATE: PHASE_SHIFT1 STRING "0.00000000"
302
// Retrieval info: PRIVATE: PHASE_SHIFT2 STRING "-90.00000000"
303
// Retrieval info: PRIVATE: PHASE_SHIFT3 STRING "0.00000000"
304
// Retrieval info: PRIVATE: PHASE_SHIFT4 STRING "0.00000000"
305
// Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "1"
306
// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg"
307
// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT1 STRING "deg"
308
// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT2 STRING "deg"
309
// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT3 STRING "deg"
310
// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT4 STRING "deg"
311
// Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0"
312
// Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "1"
313
// Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1"
314
// Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0"
315
// Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0"
316
// Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0"
317
// Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0"
318
// Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0"
319
// Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0"
320
// Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0"
321
// Retrieval info: PRIVATE: RECONFIG_FILE STRING "alt_mem_phy_pll.mif"
322
// Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0"
323
// Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "1"
324
// Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "0"
325
// Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0"
326
// Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0"
327
// Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000"
328
// Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz"
329
// Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500"
330
// Retrieval info: PRIVATE: SPREAD_USE STRING "0"
331
// Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0"
332
// Retrieval info: PRIVATE: STICKY_CLK0 STRING "1"
333
// Retrieval info: PRIVATE: STICKY_CLK1 STRING "1"
334
// Retrieval info: PRIVATE: STICKY_CLK2 STRING "1"
335
// Retrieval info: PRIVATE: STICKY_CLK3 STRING "1"
336
// Retrieval info: PRIVATE: STICKY_CLK4 STRING "1"
337
// Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1"
338
// Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1"
339
// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
340
// Retrieval info: PRIVATE: USE_CLK0 STRING "1"
341
// Retrieval info: PRIVATE: USE_CLK1 STRING "1"
342
// Retrieval info: PRIVATE: USE_CLK2 STRING "1"
343
// Retrieval info: PRIVATE: USE_CLK3 STRING "1"
344
// Retrieval info: PRIVATE: USE_CLK4 STRING "1"
345
// Retrieval info: PRIVATE: USE_CLKENA0 STRING "0"
346
// Retrieval info: PRIVATE: USE_CLKENA1 STRING "0"
347
// Retrieval info: PRIVATE: USE_CLKENA2 STRING "0"
348
// Retrieval info: PRIVATE: USE_CLKENA3 STRING "0"
349
// Retrieval info: PRIVATE: USE_CLKENA4 STRING "0"
350
// Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0"
351
// Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0"
352
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
353
// Retrieval info: CONSTANT: BANDWIDTH_TYPE STRING "AUTO"
354
// Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "2"
355
// Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50"
356
// Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "3"
357
// Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0"
358
// Retrieval info: CONSTANT: CLK1_DIVIDE_BY NUMERIC "1"
359
// Retrieval info: CONSTANT: CLK1_DUTY_CYCLE NUMERIC "50"
360
// Retrieval info: CONSTANT: CLK1_MULTIPLY_BY NUMERIC "3"
361
// Retrieval info: CONSTANT: CLK1_PHASE_SHIFT STRING "0"
362
// Retrieval info: CONSTANT: CLK2_DIVIDE_BY NUMERIC "1"
363
// Retrieval info: CONSTANT: CLK2_DUTY_CYCLE NUMERIC "50"
364
// Retrieval info: CONSTANT: CLK2_MULTIPLY_BY NUMERIC "3"
365
// Retrieval info: CONSTANT: CLK2_PHASE_SHIFT STRING "-1667"
366
// Retrieval info: CONSTANT: CLK3_DIVIDE_BY NUMERIC "1"
367
// Retrieval info: CONSTANT: CLK3_DUTY_CYCLE NUMERIC "50"
368
// Retrieval info: CONSTANT: CLK3_MULTIPLY_BY NUMERIC "3"
369
// Retrieval info: CONSTANT: CLK3_PHASE_SHIFT STRING "0"
370
// Retrieval info: CONSTANT: CLK4_DIVIDE_BY NUMERIC "1"
371
// Retrieval info: CONSTANT: CLK4_DUTY_CYCLE NUMERIC "50"
372
// Retrieval info: CONSTANT: CLK4_MULTIPLY_BY NUMERIC "3"
373
// Retrieval info: CONSTANT: CLK4_PHASE_SHIFT STRING "0"
374
// Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK1"
375
// Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "20000"
376
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone III"
377
// Retrieval info: CONSTANT: LPM_TYPE STRING "altpll"
378
// Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL"
379
// Retrieval info: CONSTANT: PLL_TYPE STRING "AUTO"
380
// Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED"
381
// Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_USED"
382
// Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED"
383
// Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED"
384
// Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED"
385
// Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED"
386
// Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED"
387
// Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED"
388
// Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED"
389
// Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED"
390
// Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_USED"
391
// Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED"
392
// Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_USED"
393
// Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_USED"
394
// Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_USED"
395
// Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_USED"
396
// Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED"
397
// Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED"
398
// Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_USED"
399
// Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED"
400
// Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED"
401
// Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED"
402
// Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED"
403
// Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED"
404
// Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED"
405
// Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED"
406
// Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_USED"
407
// Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_USED"
408
// Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_USED"
409
// Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_USED"
410
// Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED"
411
// Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED"
412
// Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED"
413
// Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED"
414
// Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED"
415
// Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED"
416
// Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED"
417
// Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED"
418
// Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED"
419
// Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED"
420
// Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED"
421
// Retrieval info: CONSTANT: SELF_RESET_ON_LOSS_LOCK STRING "OFF"
422
// Retrieval info: CONSTANT: VCO_FREQUENCY_CONTROL STRING "MANUAL_PHASE"
423
// Retrieval info: CONSTANT: VCO_PHASE_SHIFT_STEP NUMERIC "104"
424
// Retrieval info: CONSTANT: WIDTH_CLOCK NUMERIC "5"
425
// Retrieval info: CONSTANT: WIDTH_PHASECOUNTERSELECT NUMERIC "3"
426
// Retrieval info: USED_PORT: @clk 0 0 5 0 OUTPUT_CLK_EXT VCC "@clk[4..0]"
427
// Retrieval info: USED_PORT: areset 0 0 0 0 INPUT GND "areset"
428
// Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0"
429
// Retrieval info: USED_PORT: c1 0 0 0 0 OUTPUT_CLK_EXT VCC "c1"
430
// Retrieval info: USED_PORT: c2 0 0 0 0 OUTPUT_CLK_EXT VCC "c2"
431
// Retrieval info: USED_PORT: c3 0 0 0 0 OUTPUT_CLK_EXT VCC "c3"
432
// Retrieval info: USED_PORT: c4 0 0 0 0 OUTPUT_CLK_EXT VCC "c4"
433
// Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0"
434
// Retrieval info: USED_PORT: locked 0 0 0 0 OUTPUT GND "locked"
435
// Retrieval info: USED_PORT: phasecounterselect 0 0 3 0 INPUT GND "phasecounterselect[2..0]"
436
// Retrieval info: USED_PORT: phasedone 0 0 0 0 OUTPUT GND "phasedone"
437
// Retrieval info: USED_PORT: phasestep 0 0 0 0 INPUT GND "phasestep"
438
// Retrieval info: USED_PORT: phaseupdown 0 0 0 0 INPUT GND "phaseupdown"
439
// Retrieval info: USED_PORT: scanclk 0 0 0 0 INPUT_CLK_EXT VCC "scanclk"
440
// Retrieval info: CONNECT: phasedone 0 0 0 0 @phasedone 0 0 0 0
441
// Retrieval info: CONNECT: locked 0 0 0 0 @locked 0 0 0 0
442
// Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0
443
// Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0
444
// Retrieval info: CONNECT: @phaseupdown 0 0 0 0 phaseupdown 0 0 0 0
445
// Retrieval info: CONNECT: @phasecounterselect 0 0 3 0 phasecounterselect 0 0 3 0
446
// Retrieval info: CONNECT: c1 0 0 0 0 @clk 0 0 1 1
447
// Retrieval info: CONNECT: c3 0 0 0 0 @clk 0 0 1 3
448
// Retrieval info: CONNECT: c2 0 0 0 0 @clk 0 0 1 2
449
// Retrieval info: CONNECT: c4 0 0 0 0 @clk 0 0 1 4
450
// Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0
451
// Retrieval info: CONNECT: @scanclk 0 0 0 0 scanclk 0 0 0 0
452
// Retrieval info: CONNECT: @phasestep 0 0 0 0 phasestep 0 0 0 0
453
// Retrieval info: CONNECT: @areset 0 0 0 0 areset 0 0 0 0
454
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_ddr_phy_alt_mem_phy_pll.v TRUE
455
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_ddr_phy_alt_mem_phy_pll.inc FALSE
456
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_ddr_phy_alt_mem_phy_pll.cmp FALSE
457
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_ddr_phy_alt_mem_phy_pll.bsf FALSE
458
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_ddr_phy_alt_mem_phy_pll_inst.v TRUE
459
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_ddr_phy_alt_mem_phy_pll_bb.v TRUE
460
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_ddr_phy_alt_mem_phy_pll_waveforms.html TRUE
461
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_ddr_phy_alt_mem_phy_pll_wave*.jpg FALSE
462
// Retrieval info: LIB_FILE: altera_mf

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.