OpenCores
URL https://opencores.org/ocsvn/or1k_soc_on_altera_embedded_dev_kit/or1k_soc_on_altera_embedded_dev_kit/trunk

Subversion Repositories or1k_soc_on_altera_embedded_dev_kit

[/] [or1k_soc_on_altera_embedded_dev_kit/] [trunk/] [soc/] [rtl/] [altera_ddr_ctrl/] [altera_ddr_phy_alt_mem_phy_pll.v_.bak] - Blame information for rev 12

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 xianfeng
// megafunction wizard: %ALTPLL%
2
// GENERATION: STANDARD
3
// VERSION: WM1.0
4
// MODULE: altpll
5
// ============================================================
6
// File Name: alt_mem_phy_pll.v
7
// Megafunction Name(s):
8
//                      altpll
9
//
10
// Simulation Library Files(s):
11
//                      altera_mf
12
// ============================================================
13
// ************************************************************
14
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
15
//
16
// 7.2 Build 175 11/20/2007 SP 1 SJ Full Version
17
// ************************************************************
18
//Copyright (C) 1991-2007 Altera Corporation
19
//Your use of Altera Corporation's design tools, logic functions
20
//and other software and tools, and its AMPP partner logic
21
//functions, and any output files from any of the foregoing
22
//(including device programming or simulation files), and any
23
//associated documentation or information are expressly subject
24
//to the terms and conditions of the Altera Program License
25
//Subscription Agreement, Altera MegaCore Function License
26
//Agreement, or other applicable license agreement, including,
27
//without limitation, that your use is for the sole purpose of
28
//programming logic devices manufactured by Altera and sold by
29
//Altera or its authorized distributors.  Please refer to the
30
//applicable agreement for further details.
31
// synopsys translate_off
32
// synopsys translate_on
33
// ============================================================
34
// CNX file retrieval info
35
// ============================================================
36
// Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0"
37
// Retrieval info: PRIVATE: BANDWIDTH STRING "1.000"
38
// Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "1"
39
// Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz"
40
// Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low"
41
// Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1"
42
// Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0"
43
// Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0"
44
// Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0"
45
// Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "0"
46
// Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0"
47
// Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0"
48
// Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0"
49
// Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c1"
50
// Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "e0"
51
// Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "6"
52
// Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1"
53
// Retrieval info: PRIVATE: DIV_FACTOR1 NUMERIC "1"
54
// Retrieval info: PRIVATE: DIV_FACTOR2 NUMERIC "1"
55
// Retrieval info: PRIVATE: DIV_FACTOR3 NUMERIC "1"
56
// Retrieval info: PRIVATE: DIV_FACTOR4 NUMERIC "1"
57
// Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000"
58
// Retrieval info: PRIVATE: DUTY_CYCLE1 STRING "50.00000000"
59
// Retrieval info: PRIVATE: DUTY_CYCLE2 STRING "50.00000000"
60
// Retrieval info: PRIVATE: DUTY_CYCLE3 STRING "50.00000000"
61
// Retrieval info: PRIVATE: DUTY_CYCLE4 STRING "50.00000000"
62
// Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0"
63
// Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0"
64
// Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1"
65
// Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "0"
66
// Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0"
67
// Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575"
68
// Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1"
69
// Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "50.0"
70
// Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz"
71
// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000"
72
// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1"
73
// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1"
74
// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz"
75
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone III"
76
// Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1"
77
// Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "1"
78
// Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1"
79
// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available"
80
// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0"
81
// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg"
82
// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT1 STRING "deg"
83
// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT2 STRING "deg"
84
// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT3 STRING "deg"
85
// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT4 STRING "deg"
86
// Retrieval info: PRIVATE: MANUAL_PHASE_SHIFT_STEP_EDIT STRING "104"
87
// Retrieval info: PRIVATE: MANUAL_PHASE_SHIFT_STEP_UNIT STRING "ps"
88
// Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0"
89
// Retrieval info: PRIVATE: MIRROR_CLK1 STRING "0"
90
// Retrieval info: PRIVATE: MIRROR_CLK2 STRING "0"
91
// Retrieval info: PRIVATE: MIRROR_CLK3 STRING "0"
92
// Retrieval info: PRIVATE: MIRROR_CLK4 STRING "0"
93
// Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "1"
94
// Retrieval info: PRIVATE: MULT_FACTOR1 NUMERIC "2"
95
// Retrieval info: PRIVATE: MULT_FACTOR2 NUMERIC "2"
96
// Retrieval info: PRIVATE: MULT_FACTOR3 NUMERIC "2"
97
// Retrieval info: PRIVATE: MULT_FACTOR4 NUMERIC "2"
98
// Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1"
99
// Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "75.0"
100
// Retrieval info: PRIVATE: OUTPUT_FREQ1 STRING "150.0"
101
// Retrieval info: PRIVATE: OUTPUT_FREQ2 STRING "150.0"
102
// Retrieval info: PRIVATE: OUTPUT_FREQ3 STRING "150.0"
103
// Retrieval info: PRIVATE: OUTPUT_FREQ4 STRING "150.0"
104
// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "1"
105
// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE1 STRING "1"
106
// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE2 STRING "1"
107
// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE3 STRING "1"
108
// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE4 STRING "1"
109
// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz"
110
// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT1 STRING "MHz"
111
// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT2 STRING "MHz"
112
// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT3 STRING "MHz"
113
// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT4 STRING "MHz"
114
// Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "1"
115
// Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "1"
116
// Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000"
117
// Retrieval info: PRIVATE: PHASE_SHIFT1 STRING "0.00000000"
118
// Retrieval info: PRIVATE: PHASE_SHIFT2 STRING "-90.00000000"
119
// Retrieval info: PRIVATE: PHASE_SHIFT3 STRING "0.00000000"
120
// Retrieval info: PRIVATE: PHASE_SHIFT4 STRING "0.00000000"
121
// Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "1"
122
// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg"
123
// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT1 STRING "deg"
124
// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT2 STRING "deg"
125
// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT3 STRING "deg"
126
// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT4 STRING "deg"
127
// Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0"
128
// Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "1"
129
// Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1"
130
// Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0"
131
// Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0"
132
// Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0"
133
// Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0"
134
// Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0"
135
// Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0"
136
// Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0"
137
// Retrieval info: PRIVATE: RECONFIG_FILE STRING "alt_mem_phy_pll.mif"
138
// Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0"
139
// Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "1"
140
// Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "0"
141
// Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0"
142
// Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0"
143
// Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000"
144
// Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz"
145
// Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500"
146
// Retrieval info: PRIVATE: SPREAD_USE STRING "0"
147
// Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0"
148
// Retrieval info: PRIVATE: STICKY_CLK0 STRING "1"
149
// Retrieval info: PRIVATE: STICKY_CLK1 STRING "1"
150
// Retrieval info: PRIVATE: STICKY_CLK2 STRING "1"
151
// Retrieval info: PRIVATE: STICKY_CLK3 STRING "1"
152
// Retrieval info: PRIVATE: STICKY_CLK4 STRING "1"
153
// Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1"
154
// Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1"
155
// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
156
// Retrieval info: PRIVATE: USE_CLK0 STRING "1"
157
// Retrieval info: PRIVATE: USE_CLK1 STRING "1"
158
// Retrieval info: PRIVATE: USE_CLK2 STRING "1"
159
// Retrieval info: PRIVATE: USE_CLK3 STRING "1"
160
// Retrieval info: PRIVATE: USE_CLK4 STRING "1"
161
// Retrieval info: PRIVATE: USE_CLKENA0 STRING "0"
162
// Retrieval info: PRIVATE: USE_CLKENA1 STRING "0"
163
// Retrieval info: PRIVATE: USE_CLKENA2 STRING "0"
164
// Retrieval info: PRIVATE: USE_CLKENA3 STRING "0"
165
// Retrieval info: PRIVATE: USE_CLKENA4 STRING "0"
166
// Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0"
167
// Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0"
168
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
169
// Retrieval info: CONSTANT: BANDWIDTH_TYPE STRING "AUTO"
170
// Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "1"
171
// Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50"
172
// Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "1"
173
// Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0"
174
// Retrieval info: CONSTANT: CLK1_DIVIDE_BY NUMERIC "1"
175
// Retrieval info: CONSTANT: CLK1_DUTY_CYCLE NUMERIC "50"
176
// Retrieval info: CONSTANT: CLK1_MULTIPLY_BY NUMERIC "2"
177
// Retrieval info: CONSTANT: CLK1_PHASE_SHIFT STRING "0"
178
// Retrieval info: CONSTANT: CLK2_DIVIDE_BY NUMERIC "1"
179
// Retrieval info: CONSTANT: CLK2_DUTY_CYCLE NUMERIC "50"
180
// Retrieval info: CONSTANT: CLK2_MULTIPLY_BY NUMERIC "2"
181
// Retrieval info: CONSTANT: CLK2_PHASE_SHIFT STRING "-1250"
182
// Retrieval info: CONSTANT: CLK3_DIVIDE_BY NUMERIC "1"
183
// Retrieval info: CONSTANT: CLK3_DUTY_CYCLE NUMERIC "50"
184
// Retrieval info: CONSTANT: CLK3_MULTIPLY_BY NUMERIC "2"
185
// Retrieval info: CONSTANT: CLK3_PHASE_SHIFT STRING "0"
186
// Retrieval info: CONSTANT: CLK4_DIVIDE_BY NUMERIC "1"
187
// Retrieval info: CONSTANT: CLK4_DUTY_CYCLE NUMERIC "50"
188
// Retrieval info: CONSTANT: CLK4_MULTIPLY_BY NUMERIC "2"
189
// Retrieval info: CONSTANT: CLK4_PHASE_SHIFT STRING "0"
190
// Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK1"
191
// Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "10000"
192
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone III"
193
// Retrieval info: CONSTANT: LPM_TYPE STRING "altpll"
194
// Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL"
195
// Retrieval info: CONSTANT: PLL_TYPE STRING "Fast"
196
// Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED"
197
// Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_USED"
198
// Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED"
199
// Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED"
200
// Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED"
201
// Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED"
202
// Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED"
203
// Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED"
204
// Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED"
205
// Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED"
206
// Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_USED"
207
// Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED"
208
// Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_USED"
209
// Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_USED"
210
// Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_USED"
211
// Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_USED"
212
// Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED"
213
// Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED"
214
// Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_USED"
215
// Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED"
216
// Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED"
217
// Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED"
218
// Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED"
219
// Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED"
220
// Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED"
221
// Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED"
222
// Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_USED"
223
// Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_USED"
224
// Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_USED"
225
// Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_USED"
226
// Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED"
227
// Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED"
228
// Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED"
229
// Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED"
230
// Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED"
231
// Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED"
232
// Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED"
233
// Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED"
234
// Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED"
235
// Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED"
236
// Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED"
237
// Retrieval info: CONSTANT: SELF_RESET_ON_LOSS_LOCK STRING "OFF"
238
// Retrieval info: CONSTANT: VCO_FREQUENCY_CONTROL STRING "MANUAL_PHASE"
239
// Retrieval info: CONSTANT: VCO_PHASE_SHIFT_STEP NUMERIC "347"
240
// Retrieval info: CONSTANT: WIDTH_CLOCK NUMERIC "5"
241
// Retrieval info: CONSTANT: WIDTH_PHASECOUNTERSELECT NUMERIC "3"
242
// Retrieval info: USED_PORT: @clk 0 0 5 0 OUTPUT_CLK_EXT VCC "@clk[4..0]"
243
// Retrieval info: USED_PORT: areset 0 0 0 0 INPUT GND "areset"
244
// Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0"
245
// Retrieval info: USED_PORT: c1 0 0 0 0 OUTPUT_CLK_EXT VCC "c1"
246
// Retrieval info: USED_PORT: c2 0 0 0 0 OUTPUT_CLK_EXT VCC "c2"
247
// Retrieval info: USED_PORT: c3 0 0 0 0 OUTPUT_CLK_EXT VCC "c3"
248
// Retrieval info: USED_PORT: c4 0 0 0 0 OUTPUT_CLK_EXT VCC "c4"
249
// Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0"
250
// Retrieval info: USED_PORT: locked 0 0 0 0 OUTPUT GND "locked"
251
// Retrieval info: USED_PORT: phasecounterselect 0 0 3 0 INPUT GND "phasecounterselect[2..0]"
252
// Retrieval info: USED_PORT: phasedone 0 0 0 0 OUTPUT GND "phasedone"
253
// Retrieval info: USED_PORT: phasestep 0 0 0 0 INPUT GND "phasestep"
254
// Retrieval info: USED_PORT: phaseupdown 0 0 0 0 INPUT GND "phaseupdown"
255
// Retrieval info: USED_PORT: scanclk 0 0 0 0 INPUT_CLK_EXT VCC "scanclk"
256
// Retrieval info: CONNECT: phasedone 0 0 0 0 @phasedone 0 0 0 0
257
// Retrieval info: CONNECT: locked 0 0 0 0 @locked 0 0 0 0
258
// Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0
259
// Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0
260
// Retrieval info: CONNECT: @phaseupdown 0 0 0 0 phaseupdown 0 0 0 0
261
// Retrieval info: CONNECT: @phasecounterselect 0 0 3 0 phasecounterselect 0 0 3 0
262
// Retrieval info: CONNECT: c1 0 0 0 0 @clk 0 0 1 1
263
// Retrieval info: CONNECT: c3 0 0 0 0 @clk 0 0 1 3
264
// Retrieval info: CONNECT: c2 0 0 0 0 @clk 0 0 1 2
265
// Retrieval info: CONNECT: c4 0 0 0 0 @clk 0 0 1 4
266
// Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0
267
// Retrieval info: CONNECT: @scanclk 0 0 0 0 scanclk 0 0 0 0
268
// Retrieval info: CONNECT: @phasestep 0 0 0 0 phasestep 0 0 0 0
269
// Retrieval info: CONNECT: @areset 0 0 0 0 areset 0 0 0 0
270
// Retrieval info: GEN_FILE: TYPE_NORMAL alt_mem_phy_pll.v TRUE FALSE
271
// Retrieval info: GEN_FILE: TYPE_NORMAL alt_mem_phy_pll.ppf TRUE FALSE
272
// Retrieval info: GEN_FILE: TYPE_NORMAL alt_mem_phy_pll.inc FALSE FALSE
273
// Retrieval info: GEN_FILE: TYPE_NORMAL alt_mem_phy_pll.cmp FALSE FALSE
274
// Retrieval info: GEN_FILE: TYPE_NORMAL alt_mem_phy_pll.bsf FALSE FALSE
275
// Retrieval info: GEN_FILE: TYPE_NORMAL alt_mem_phy_pll_inst.v FALSE FALSE
276
// Retrieval info: GEN_FILE: TYPE_NORMAL alt_mem_phy_pll_bb.v FALSE FALSE
277
// Retrieval info: GEN_FILE: TYPE_NORMAL alt_mem_phy_pll_waveforms.html FALSE FALSE
278
// Retrieval info: GEN_FILE: TYPE_NORMAL alt_mem_phy_pll_wave*.jpg FALSE FALSE
279
// Retrieval info: LIB_FILE: altera_mf

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.