OpenCores
URL https://opencores.org/ocsvn/or1k_soc_on_altera_embedded_dev_kit/or1k_soc_on_altera_embedded_dev_kit/trunk

Subversion Repositories or1k_soc_on_altera_embedded_dev_kit

[/] [or1k_soc_on_altera_embedded_dev_kit/] [trunk/] [soc/] [rtl/] [altera_ddr_ctrl/] [altera_ddr_phy_alt_mem_phy_seq_wrapper.v] - Blame information for rev 12

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 xianfeng
//
2
 
3
`ifdef ALT_MEM_PHY_DEFINES
4
`else
5
`include "alt_mem_phy_defines.v"
6
`endif
7
 
8
//
9
module altera_ddr_phy_alt_mem_phy_seq_wrapper (
10
 
11
// dss ports
12
                    phy_clk_1x,
13
                    reset_phy_clk_1x_n,
14
                    ctl_cal_success,
15
                    ctl_cal_fail,
16
                    ctl_cal_req,
17
                    int_RANK_HAS_ADDR_SWAP,
18
                    ctl_cal_byte_lane_sel_n,
19
                    seq_pll_inc_dec_n,
20
                    seq_pll_start_reconfig,
21
                    seq_pll_select,
22
                    phs_shft_busy,
23
                    pll_resync_clk_index,
24
                    pll_measure_clk_index,
25
                    sc_clk_dp,
26
                    scan_enable_dqs_config,
27
                    scan_update,
28
                    scan_din,
29
                    scan_enable_ck,
30
                    scan_enable_dqs,
31
                    scan_enable_dqsn,
32
                    scan_enable_dq,
33
                    scan_enable_dm,
34
                    scan_enable_d,
35
                    hr_rsc_clk,
36
                    seq_ac_addr,
37
                    seq_ac_ba,
38
                    seq_ac_cas_n,
39
                    seq_ac_ras_n,
40
                    seq_ac_we_n,
41
                    seq_ac_cke,
42
                    seq_ac_cs_n,
43
                    seq_ac_odt,
44
                    seq_ac_rst_n,
45
                    seq_ac_sel,
46
                    seq_mem_clk_disable,
47
                    ctl_add_1t_ac_lat_internal,
48
                    ctl_add_1t_odt_lat_internal,
49
                    ctl_add_intermediate_regs_internal,
50
                    seq_rdv_doing_rd,
51
                    seq_rdp_reset_req_n,
52
                    seq_rdp_inc_read_lat_1x,
53
                    seq_rdp_dec_read_lat_1x,
54
                    ctl_rdata,
55
                    int_rdata_valid_1t,
56
                    seq_rdata_valid_lat_inc,
57
                    seq_rdata_valid_lat_dec,
58
                    ctl_rlat,
59
                    seq_poa_lat_dec_1x,
60
                    seq_poa_lat_inc_1x,
61
                    seq_poa_protection_override_1x,
62
                    seq_oct_oct_delay,
63
                    seq_oct_oct_extend,
64
                    seq_oct_val,
65
                    seq_wdp_dqs_burst,
66
                    seq_wdp_wdata_valid,
67
                    seq_wdp_wdata,
68
                    seq_wdp_dm,
69
                    seq_wdp_dqs,
70
                    seq_wdp_ovride,
71
                    seq_dqs_add_2t_delay,
72
                    ctl_wlat,
73
                    ctl_addr,
74
                    ctl_ba,
75
                    ctl_cke,
76
                    ctl_cs_n,
77
                    ctl_cas_n,
78
                    ctl_ras_n,
79
                    ctl_we_n,
80
                    ctl_rst_n,
81
                    seq_mmc_start,
82
                    mmc_seq_done,
83
                    mmc_seq_value,
84
                    dbg_clk,
85
                    dbg_reset_n,
86
                    dbg_addr,
87
                    dbg_wr,
88
                    dbg_rd,
89
                    dbg_cs,
90
                    dbg_wr_data,
91
                    dbg_rd_data,
92
                    dbg_waitrequest
93
                                );
94
 
95
 
96
//Inserted Generics
97
  localparam SPEED_GRADE                   = "C6";
98
  localparam MEM_IF_DQS_WIDTH              = 2;
99
  localparam MEM_IF_DWIDTH                 = 16;
100
  localparam MEM_IF_DM_WIDTH               = 2;
101
  localparam MEM_IF_DQ_PER_DQS             = 8;
102
  localparam DWIDTH_RATIO                  = 2;
103
  localparam CLOCK_INDEX_WIDTH             = 3;
104
  localparam MEM_IF_CLK_PAIR_COUNT         = 1;
105
  localparam MEM_IF_ADDR_WIDTH             = 13;
106
  localparam MEM_IF_BANKADDR_WIDTH         = 2;
107
  localparam MEM_IF_CS_WIDTH               = 1;
108
  localparam RESYNCHRONISE_AVALON_DBG      = 0;
109
  localparam DBG_A_WIDTH                   = 13;
110
  localparam DQS_PHASE_SETTING             = 2;
111
  localparam SCAN_CLK_DIVIDE_BY            = 2;
112
  localparam PLL_STEPS_PER_CYCLE           = 64;
113
  localparam MEM_IF_CLK_PS                 = 6667;
114
  localparam DQS_DELAY_CTL_WIDTH           = 6;
115
  localparam MEM_IF_MEMTYPE                = "DDR";
116
  localparam RANK_HAS_ADDR_SWAP            = 0;
117
  localparam MEM_IF_MR_0                   = 50;
118
  localparam MEM_IF_MR_1                   = 0;
119
  localparam MEM_IF_MR_2                   = 0;
120
  localparam MEM_IF_MR_3                   = 0;
121
  localparam MEM_IF_OCT_EN                 = 0;
122
  localparam IP_BUILDNUM                   = 235;
123
  localparam FAMILY                        = "Cyclone III";
124
  localparam FAMILYGROUP_ID                = 2;
125
  localparam MEM_IF_ADDR_CMD_PHASE         = 90;
126
  localparam CAPABILITIES                  = 2048;
127
  localparam WRITE_DESKEW_T10              = 0;
128
  localparam WRITE_DESKEW_T9NI             = 0;
129
  localparam WRITE_DESKEW_T9I              = 0;
130
  localparam WRITE_DESKEW_RANGE            = 0;
131
  localparam IOE_PHASES_PER_TCK            = 12;
132
  localparam ADV_LAT_WIDTH                 = 5;
133
  localparam RDP_ADDR_WIDTH                = 4;
134
  localparam IOE_DELAYS_PER_PHS            = 5;
135
  localparam SINGLE_DQS_DELAY_CONTROL_CODE = 0;
136
  localparam SNOOP_MRS                     = 0;
137
  localparam PRESET_RLAT                   = 0;
138
  localparam MEM_IF_DQS_CAPTURE_EN         = 0;
139
  localparam REDUCE_SIM_TIME               = 0;
140
  localparam TINIT_TCK                     = 30004;
141
  localparam TINIT_RST                     = 0;
142
  localparam GENERATE_ADDITIONAL_DBG_RTL   = 0;
143
  localparam MEM_IF_CS_PER_RANK            = 1;
144
  localparam MEM_IF_RANKS_PER_SLOT         = 1;
145
 
146
localparam OCT_LAT_WIDTH                     = ADV_LAT_WIDTH;
147
localparam GENERATE_TRACKING_PHASE_STORE     = 0;
148
 
149
// note that num_ranks if the number of discrete chip select signals output from the sequencer
150
// cs_width is the total number of chip selects which go from the phy to the memory (there can
151
// be more than one chip select per rank).
152
localparam MEM_IF_NUM_RANKS                  = MEM_IF_CS_WIDTH/MEM_IF_CS_PER_RANK;
153
 
154
 
155
input  wire                                                      phy_clk_1x;
156
input  wire                                                      reset_phy_clk_1x_n;
157
output wire                                                      ctl_cal_success;
158
output wire                                                      ctl_cal_fail;
159
input  wire                                                      ctl_cal_req;
160
input  wire [MEM_IF_NUM_RANKS                         - 1 : 0]   int_RANK_HAS_ADDR_SWAP;
161
input  wire [MEM_IF_NUM_RANKS * MEM_IF_DQS_WIDTH      - 1 : 0]   ctl_cal_byte_lane_sel_n;
162
output wire                                                      seq_pll_inc_dec_n;
163
output wire                                                      seq_pll_start_reconfig;
164
output wire [CLOCK_INDEX_WIDTH                        - 1 : 0]   seq_pll_select;
165
input  wire                                                      phs_shft_busy;
166
input  wire [CLOCK_INDEX_WIDTH                        - 1 : 0]   pll_resync_clk_index;
167
input  wire [CLOCK_INDEX_WIDTH                        - 1 : 0]   pll_measure_clk_index;
168
output      [MEM_IF_DQS_WIDTH                         - 1 : 0]   sc_clk_dp;
169
output wire [MEM_IF_DQS_WIDTH                         - 1 : 0]   scan_enable_dqs_config;
170
output wire [MEM_IF_DQS_WIDTH                         - 1 : 0]   scan_update;
171
output wire [MEM_IF_DQS_WIDTH                         - 1 : 0]   scan_din;
172
output wire [MEM_IF_CLK_PAIR_COUNT                    - 1 : 0]   scan_enable_ck;
173
output wire [MEM_IF_DQS_WIDTH                         - 1 : 0]   scan_enable_dqs;
174
output wire [MEM_IF_DQS_WIDTH                         - 1 : 0]   scan_enable_dqsn;
175
output wire [MEM_IF_DWIDTH                            - 1 : 0]   scan_enable_dq;
176
output wire [MEM_IF_DM_WIDTH                          - 1 : 0]   scan_enable_dm;
177
output wire [MEM_IF_DWIDTH                            - 1 : 0]   scan_enable_d;
178
input  wire                                                      hr_rsc_clk;
179
output wire [(DWIDTH_RATIO/2) * MEM_IF_ADDR_WIDTH     - 1 : 0]   seq_ac_addr;
180
output wire [(DWIDTH_RATIO/2) * MEM_IF_BANKADDR_WIDTH - 1 : 0]   seq_ac_ba;
181
output wire [(DWIDTH_RATIO/2)                         - 1 : 0]   seq_ac_cas_n;
182
output wire [(DWIDTH_RATIO/2)                         - 1 : 0]   seq_ac_ras_n;
183
output wire [(DWIDTH_RATIO/2)                         - 1 : 0]   seq_ac_we_n;
184
output wire [(DWIDTH_RATIO/2) * MEM_IF_NUM_RANKS      - 1 : 0]   seq_ac_cke;
185
output wire [(DWIDTH_RATIO/2) * MEM_IF_NUM_RANKS      - 1 : 0]   seq_ac_cs_n;
186
output wire [(DWIDTH_RATIO/2) * MEM_IF_NUM_RANKS      - 1 : 0]   seq_ac_odt;
187
output wire [(DWIDTH_RATIO/2)                         - 1 : 0]   seq_ac_rst_n;
188
output wire                                                      seq_ac_sel;
189
output wire                                                      seq_mem_clk_disable;
190
 
191
output wire                                                      ctl_add_1t_ac_lat_internal;
192
output wire                                                      ctl_add_1t_odt_lat_internal;
193
output wire                                                      ctl_add_intermediate_regs_internal;
194
output wire [MEM_IF_DQS_WIDTH * DWIDTH_RATIO/2        - 1 : 0]   seq_rdv_doing_rd;
195
output wire                                                      seq_rdp_reset_req_n;
196
output wire [MEM_IF_DQS_WIDTH                         - 1 : 0]   seq_rdp_inc_read_lat_1x;
197
output wire [MEM_IF_DQS_WIDTH                         - 1 : 0]   seq_rdp_dec_read_lat_1x;
198
input  wire [DWIDTH_RATIO     * MEM_IF_DWIDTH         - 1 : 0]   ctl_rdata;
199
input  wire [DWIDTH_RATIO/2                           - 1 : 0]   int_rdata_valid_1t;
200
output wire                                                      seq_rdata_valid_lat_inc;
201
output wire                                                      seq_rdata_valid_lat_dec;
202
output wire [ADV_LAT_WIDTH                    - 1 : 0]           ctl_rlat;
203
output wire [MEM_IF_DQS_WIDTH                 - 1 : 0]           seq_poa_lat_dec_1x;
204
output wire [MEM_IF_DQS_WIDTH                 - 1 : 0]           seq_poa_lat_inc_1x;
205
output wire                                                      seq_poa_protection_override_1x;
206
output wire [OCT_LAT_WIDTH                            - 1 : 0]   seq_oct_oct_delay;
207
output wire [OCT_LAT_WIDTH                            - 1 : 0]   seq_oct_oct_extend;
208
output wire                                                      seq_oct_val;
209
output wire [(DWIDTH_RATIO/2) * MEM_IF_DQS_WIDTH      - 1 : 0]   seq_wdp_dqs_burst;
210
output wire [(DWIDTH_RATIO/2) * MEM_IF_DQS_WIDTH      - 1 : 0]   seq_wdp_wdata_valid;
211
output wire [DWIDTH_RATIO     * MEM_IF_DWIDTH         - 1 : 0]   seq_wdp_wdata;
212
output wire [DWIDTH_RATIO     * MEM_IF_DM_WIDTH       - 1 : 0]   seq_wdp_dm;
213
output wire [DWIDTH_RATIO                             - 1 : 0]   seq_wdp_dqs;
214
output wire                                                      seq_wdp_ovride;
215
output wire [MEM_IF_DQS_WIDTH      - 1 : 0]                      seq_dqs_add_2t_delay;
216
output wire [ADV_LAT_WIDTH                            - 1 : 0]   ctl_wlat;
217
input  wire [(DWIDTH_RATIO/2) * MEM_IF_ADDR_WIDTH     - 1 : 0]   ctl_addr;
218
input  wire [(DWIDTH_RATIO/2) * MEM_IF_BANKADDR_WIDTH - 1 : 0]   ctl_ba;
219
input  wire [(DWIDTH_RATIO/2) * MEM_IF_NUM_RANKS      - 1 : 0]   ctl_cke;
220
input  wire [(DWIDTH_RATIO/2) * MEM_IF_NUM_RANKS      - 1 : 0]   ctl_cs_n;
221
input  wire [(DWIDTH_RATIO/2)                         - 1 : 0]   ctl_cas_n;
222
input  wire [(DWIDTH_RATIO/2)                         - 1 : 0]   ctl_ras_n;
223
input  wire [(DWIDTH_RATIO/2)                         - 1 : 0]   ctl_we_n;
224
input  wire [(DWIDTH_RATIO/2)                         - 1 : 0]   ctl_rst_n;
225
output wire                                                      seq_mmc_start;
226
input  wire                                                      mmc_seq_done;
227
input  wire                                                      mmc_seq_value;
228
input  wire                                                      dbg_clk;
229
input  wire                                                      dbg_reset_n;
230
input  wire [DBG_A_WIDTH                         - 1 : 0]   dbg_addr;
231
input  wire                                                      dbg_wr;
232
input  wire                                                      dbg_rd;
233
input  wire                                                      dbg_cs;
234
input  wire [                          31 : 0]                   dbg_wr_data;
235
output wire [                          31 : 0]                   dbg_rd_data;
236
output wire                                                      dbg_waitrequest;
237
 
238
(* altera_attribute = "-name global_signal off" *) wire [MEM_IF_DQS_WIDTH - 1 : 0] sc_clk_dp;
239
 
240
// instantiate the deskew (DDR3) or non-deskew (DDR/DDR2/DDR3) sequencer:
241
//
242
   altera_ddr_phy_alt_mem_phy_seq #(
243
        .MEM_IF_DQS_WIDTH               (MEM_IF_DQS_WIDTH),
244
        .MEM_IF_DWIDTH                  (MEM_IF_DWIDTH),
245
        .MEM_IF_DM_WIDTH                (MEM_IF_DM_WIDTH),
246
        .MEM_IF_DQ_PER_DQS              (MEM_IF_DQ_PER_DQS),
247
        .DWIDTH_RATIO                   (DWIDTH_RATIO),
248
        .CLOCK_INDEX_WIDTH              (CLOCK_INDEX_WIDTH),
249
        .MEM_IF_CLK_PAIR_COUNT          (MEM_IF_CLK_PAIR_COUNT),
250
        .MEM_IF_ADDR_WIDTH              (MEM_IF_ADDR_WIDTH),
251
        .MEM_IF_BANKADDR_WIDTH          (MEM_IF_BANKADDR_WIDTH),
252
        .MEM_IF_NUM_RANKS               (MEM_IF_NUM_RANKS),
253
        .MEM_IF_RANKS_PER_SLOT          (MEM_IF_RANKS_PER_SLOT),
254
        .ADV_LAT_WIDTH                  (ADV_LAT_WIDTH),
255
        .RESYNCHRONISE_AVALON_DBG       (RESYNCHRONISE_AVALON_DBG),
256
        .AV_IF_ADDR_WIDTH               (DBG_A_WIDTH),
257
        .NOM_DQS_PHASE_SETTING          (DQS_PHASE_SETTING),
258
        .SCAN_CLK_DIVIDE_BY             (SCAN_CLK_DIVIDE_BY),
259
        .RDP_ADDR_WIDTH                 (RDP_ADDR_WIDTH),
260
        .PLL_STEPS_PER_CYCLE            (PLL_STEPS_PER_CYCLE),
261
        .IOE_PHASES_PER_TCK             (IOE_PHASES_PER_TCK),
262
        .IOE_DELAYS_PER_PHS             (IOE_DELAYS_PER_PHS),
263
        .MEM_IF_CLK_PS                  (MEM_IF_CLK_PS),
264
        .PHY_DEF_MR_1ST                 (MEM_IF_MR_0),
265
        .PHY_DEF_MR_2ND                 (MEM_IF_MR_1),
266
        .PHY_DEF_MR_3RD                 (MEM_IF_MR_2),
267
        .PHY_DEF_MR_4TH                 (MEM_IF_MR_3),
268
        .MEM_IF_DQSN_EN                 (0),
269
        .MEM_IF_DQS_CAPTURE_EN          (MEM_IF_DQS_CAPTURE_EN),
270
        .FAMILY                         (FAMILY),
271
        .FAMILYGROUP_ID                 (FAMILYGROUP_ID),
272
        .SPEED_GRADE                    (SPEED_GRADE),
273
        .MEM_IF_MEMTYPE                 (MEM_IF_MEMTYPE),
274
        .WRITE_DESKEW_T10               (WRITE_DESKEW_T10),
275
        .WRITE_DESKEW_T9NI              (WRITE_DESKEW_T9NI),
276
        .WRITE_DESKEW_T9I               (WRITE_DESKEW_T9I),
277
        .WRITE_DESKEW_RANGE             (WRITE_DESKEW_RANGE),
278
        .SINGLE_DQS_DELAY_CONTROL_CODE  (SINGLE_DQS_DELAY_CONTROL_CODE),
279
        .SNOOP_MRS                      (SNOOP_MRS),
280
        .PRESET_RLAT                    (PRESET_RLAT),
281
        .EN_OCT                         (MEM_IF_OCT_EN),
282
        .SIM_TIME_REDUCTIONS            (REDUCE_SIM_TIME),
283
        .CAPABILITIES                   (CAPABILITIES),
284
        .GENERATE_ADDITIONAL_DBG_RTL    (GENERATE_ADDITIONAL_DBG_RTL),
285
        .TINIT_TCK                      (TINIT_TCK),
286
        .TINIT_RST                      (TINIT_RST),
287
        .GENERATE_TRACKING_PHASE_STORE  (0),
288
        .OCT_LAT_WIDTH                  (OCT_LAT_WIDTH),
289
        .IP_BUILDNUM                    (IP_BUILDNUM)
290
) seq_inst (
291
        .clk                            (phy_clk_1x),
292
        .rst_n                          (reset_phy_clk_1x_n),
293
        .ctl_init_success               (ctl_cal_success),
294
        .ctl_init_fail                  (ctl_cal_fail),
295
        .ctl_recalibrate_req            (ctl_cal_req),
296
        .MEM_AC_SWAPPED_RANKS           (int_RANK_HAS_ADDR_SWAP),
297
        .ctl_cal_byte_lanes             (ctl_cal_byte_lane_sel_n),
298
        .seq_pll_inc_dec_n              (seq_pll_inc_dec_n),
299
        .seq_pll_start_reconfig         (seq_pll_start_reconfig),
300
        .seq_pll_select                 (seq_pll_select),
301
        .seq_pll_phs_shift_busy         (phs_shft_busy),
302
        .pll_resync_clk_index           (pll_resync_clk_index),
303
        .pll_measure_clk_index          (pll_measure_clk_index),
304
        .seq_scan_clk                   (sc_clk_dp),
305
        .seq_scan_enable_dqs_config     (scan_enable_dqs_config),
306
        .seq_scan_update                (scan_update),
307
        .seq_scan_din                   (scan_din),
308
        .seq_scan_enable_ck             (scan_enable_ck),
309
        .seq_scan_enable_dqs            (scan_enable_dqs),
310
        .seq_scan_enable_dqsn           (scan_enable_dqsn),
311
        .seq_scan_enable_dq             (scan_enable_dq),
312
        .seq_scan_enable_dm             (scan_enable_dm),
313
        .seq_scan_enable_d              (scan_enable_d),
314
        .hr_rsc_clk                     (hr_rsc_clk),
315
        .seq_ac_addr                    (seq_ac_addr),
316
        .seq_ac_ba                      (seq_ac_ba),
317
        .seq_ac_cas_n                   (seq_ac_cas_n),
318
        .seq_ac_ras_n                   (seq_ac_ras_n),
319
        .seq_ac_we_n                    (seq_ac_we_n),
320
        .seq_ac_cke                     (seq_ac_cke),
321
        .seq_ac_cs_n                    (seq_ac_cs_n),
322
        .seq_ac_odt                     (seq_ac_odt),
323
        .seq_ac_rst_n                   (seq_ac_rst_n),
324
        .seq_ac_sel                     (seq_ac_sel),
325
        .seq_mem_clk_disable            (seq_mem_clk_disable),
326
        .seq_ac_add_1t_ac_lat_internal  (ctl_add_1t_ac_lat_internal),
327
        .seq_ac_add_1t_odt_lat_internal (ctl_add_1t_odt_lat_internal),
328
        .seq_ac_add_2t                  (ctl_add_intermediate_regs_internal),
329
        .seq_rdv_doing_rd               (seq_rdv_doing_rd),
330
        .seq_rdp_reset_req_n            (seq_rdp_reset_req_n),
331
        .seq_rdp_inc_read_lat_1x        (seq_rdp_inc_read_lat_1x),
332
        .seq_rdp_dec_read_lat_1x        (seq_rdp_dec_read_lat_1x),
333
        .rdata                          (ctl_rdata),
334
        .rdata_valid                    (int_rdata_valid_1t),
335
        .seq_rdata_valid_lat_inc        (seq_rdata_valid_lat_inc),
336
        .seq_rdata_valid_lat_dec        (seq_rdata_valid_lat_dec),
337
        .seq_ctl_rlat                   (ctl_rlat),
338
        .seq_poa_lat_dec_1x             (seq_poa_lat_dec_1x),
339
        .seq_poa_lat_inc_1x             (seq_poa_lat_inc_1x),
340
        .seq_poa_protection_override_1x (seq_poa_protection_override_1x),
341
        .seq_oct_oct_delay              (seq_oct_oct_delay),
342
        .seq_oct_oct_extend             (seq_oct_oct_extend),
343
        .seq_oct_value                  (seq_oct_val),
344
        .seq_wdp_dqs_burst              (seq_wdp_dqs_burst),
345
        .seq_wdp_wdata_valid            (seq_wdp_wdata_valid),
346
        .seq_wdp_wdata                  (seq_wdp_wdata),
347
        .seq_wdp_dm                     (seq_wdp_dm),
348
        .seq_wdp_dqs                    (seq_wdp_dqs),
349
        .seq_wdp_ovride                 (seq_wdp_ovride),
350
        .seq_dqs_add_2t_delay           (seq_dqs_add_2t_delay),
351
        .seq_ctl_wlat                   (ctl_wlat),
352
        .ctl_seq_ac_snoop_addr          (ctl_addr),
353
        .ctl_seq_ac_snoop_ba            (ctl_ba),
354
        .ctl_seq_ac_snoop_cke           (ctl_cke),
355
        .ctl_seq_ac_snoop_cs_n          (ctl_cs_n),
356
        .ctl_seq_ac_snoop_cas_n         (ctl_cas_n),
357
        .ctl_seq_ac_snoop_ras_n         (ctl_ras_n),
358
        .ctl_seq_ac_snoop_we_n          (ctl_we_n),
359
        .ctl_seq_ac_snoop_rst_n         (ctl_rst_n),
360
        .seq_mmc_start                  (seq_mmc_start),
361
        .mmc_seq_done                   (mmc_seq_done),
362
        .mmc_seq_value                  (mmc_seq_value),
363
        .dbg_seq_clk                    (dbg_clk),
364
        .dbg_seq_rst_n                  (dbg_reset_n),
365
        .dbg_seq_addr                   (dbg_addr),
366
        .dbg_seq_wr                     (dbg_wr),
367
        .dbg_seq_rd                     (dbg_rd),
368
        .dbg_seq_cs                     (dbg_cs),
369
        .dbg_seq_wr_data                (dbg_wr_data),
370
        .seq_dbg_rd_data                (dbg_rd_data),
371
        .seq_dbg_waitrequest            (dbg_waitrequest)
372
    );
373
 
374
 
375
endmodule
376
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.