OpenCores
URL https://opencores.org/ocsvn/or1k_soc_on_altera_embedded_dev_kit/or1k_soc_on_altera_embedded_dev_kit/trunk

Subversion Repositories or1k_soc_on_altera_embedded_dev_kit

[/] [or1k_soc_on_altera_embedded_dev_kit/] [trunk/] [soc/] [rtl/] [altera_pll/] [altera_pll.qip] - Blame information for rev 12

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 xianfeng
set_global_assignment -name IP_TOOL_NAME "ALTPLL"
2
set_global_assignment -name IP_TOOL_VERSION "9.0"
3
set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "altera_pll.v"]
4
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "altera_pll.bsf"]
5
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "altera_pll_inst.v"]
6
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "altera_pll_bb.v"]
7
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "altera_pll.ppf"]
8
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "altera_pll_syn.v"]

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.