OpenCores
URL https://opencores.org/ocsvn/or1k_soc_on_altera_embedded_dev_kit/or1k_soc_on_altera_embedded_dev_kit/trunk

Subversion Repositories or1k_soc_on_altera_embedded_dev_kit

[/] [or1k_soc_on_altera_embedded_dev_kit/] [trunk/] [soc/] [rtl/] [altera_pll/] [altera_pll.v] - Blame information for rev 12

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 xianfeng
// megafunction wizard: %ALTPLL%
2
// GENERATION: STANDARD
3
// VERSION: WM1.0
4
// MODULE: altpll 
5
 
6
// ============================================================
7
// File Name: altera_pll.v
8
// Megafunction Name(s):
9
//                      altpll
10
//
11
// Simulation Library Files(s):
12
//                      altera_mf
13
// ============================================================
14
// ************************************************************
15
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
16
//
17
// 9.0 Build 235 06/17/2009 SP 2 SJ Full Version
18
// ************************************************************
19
 
20
 
21
//Copyright (C) 1991-2009 Altera Corporation
22
//Your use of Altera Corporation's design tools, logic functions 
23
//and other software and tools, and its AMPP partner logic 
24
//functions, and any output files from any of the foregoing 
25
//(including device programming or simulation files), and any 
26
//associated documentation or information are expressly subject 
27
//to the terms and conditions of the Altera Program License 
28
//Subscription Agreement, Altera MegaCore Function License 
29
//Agreement, or other applicable license agreement, including, 
30
//without limitation, that your use is for the sole purpose of 
31
//programming logic devices manufactured by Altera and sold by 
32
//Altera or its authorized distributors.  Please refer to the 
33
//applicable agreement for further details.
34
 
35
 
36
// synopsys translate_off
37
`timescale 1 ps / 1 ps
38
// synopsys translate_on
39
module altera_pll (
40
        inclk0,
41
        c0,
42
        c1,
43
        c2,
44
        c3,
45
        locked);
46
 
47
        input     inclk0;
48
        output    c0;
49
        output    c1;
50
        output    c2;
51
        output    c3;
52
        output    locked;
53
 
54
        wire [4:0] sub_wire0;
55
        wire  sub_wire5;
56
        wire [0:0] sub_wire8 = 1'h0;
57
        wire [3:3] sub_wire4 = sub_wire0[3:3];
58
        wire [2:2] sub_wire3 = sub_wire0[2:2];
59
        wire [1:1] sub_wire2 = sub_wire0[1:1];
60
        wire [0:0] sub_wire1 = sub_wire0[0:0];
61
        wire  c0 = sub_wire1;
62
        wire  c1 = sub_wire2;
63
        wire  c2 = sub_wire3;
64
        wire  c3 = sub_wire4;
65
        wire  locked = sub_wire5;
66
        wire  sub_wire6 = inclk0;
67
        wire [1:0] sub_wire7 = {sub_wire8, sub_wire6};
68
 
69
        altpll  altpll_component (
70
                                .inclk (sub_wire7),
71
                                .clk (sub_wire0),
72
                                .locked (sub_wire5),
73
                                .activeclock (),
74
                                .areset (1'b0),
75
                                .clkbad (),
76
                                .clkena ({6{1'b1}}),
77
                                .clkloss (),
78
                                .clkswitch (1'b0),
79
                                .configupdate (1'b0),
80
                                .enable0 (),
81
                                .enable1 (),
82
                                .extclk (),
83
                                .extclkena ({4{1'b1}}),
84
                                .fbin (1'b1),
85
                                .fbmimicbidir (),
86
                                .fbout (),
87
                                .pfdena (1'b1),
88
                                .phasecounterselect ({4{1'b1}}),
89
                                .phasedone (),
90
                                .phasestep (1'b1),
91
                                .phaseupdown (1'b1),
92
                                .pllena (1'b1),
93
                                .scanaclr (1'b0),
94
                                .scanclk (1'b0),
95
                                .scanclkena (1'b1),
96
                                .scandata (1'b0),
97
                                .scandataout (),
98
                                .scandone (),
99
                                .scanread (1'b0),
100
                                .scanwrite (1'b0),
101
                                .sclkout0 (),
102
                                .sclkout1 (),
103
                                .vcooverrange (),
104
                                .vcounderrange ());
105
        defparam
106
                altpll_component.bandwidth_type = "AUTO",
107
                altpll_component.clk0_divide_by = 2,
108
                altpll_component.clk0_duty_cycle = 50,
109
                altpll_component.clk0_multiply_by = 1,
110
                altpll_component.clk0_phase_shift = "0",
111
                altpll_component.clk1_divide_by = 5,
112
                altpll_component.clk1_duty_cycle = 50,
113
                altpll_component.clk1_multiply_by = 3,
114
                altpll_component.clk1_phase_shift = "0",
115
                altpll_component.clk2_divide_by = 10,
116
                altpll_component.clk2_duty_cycle = 50,
117
                altpll_component.clk2_multiply_by = 7,
118
                altpll_component.clk2_phase_shift = "0",
119
                altpll_component.clk3_divide_by = 1,
120
                altpll_component.clk3_duty_cycle = 50,
121
                altpll_component.clk3_multiply_by = 1,
122
                altpll_component.clk3_phase_shift = "0",
123
                altpll_component.compensate_clock = "CLK0",
124
                altpll_component.inclk0_input_frequency = 20000,
125
                altpll_component.intended_device_family = "Cyclone III",
126
                altpll_component.lpm_hint = "CBX_MODULE_PREFIX=altera_pll",
127
                altpll_component.lpm_type = "altpll",
128
                altpll_component.operation_mode = "NORMAL",
129
                altpll_component.pll_type = "AUTO",
130
                altpll_component.port_activeclock = "PORT_UNUSED",
131
                altpll_component.port_areset = "PORT_UNUSED",
132
                altpll_component.port_clkbad0 = "PORT_UNUSED",
133
                altpll_component.port_clkbad1 = "PORT_UNUSED",
134
                altpll_component.port_clkloss = "PORT_UNUSED",
135
                altpll_component.port_clkswitch = "PORT_UNUSED",
136
                altpll_component.port_configupdate = "PORT_UNUSED",
137
                altpll_component.port_fbin = "PORT_UNUSED",
138
                altpll_component.port_inclk0 = "PORT_USED",
139
                altpll_component.port_inclk1 = "PORT_UNUSED",
140
                altpll_component.port_locked = "PORT_USED",
141
                altpll_component.port_pfdena = "PORT_UNUSED",
142
                altpll_component.port_phasecounterselect = "PORT_UNUSED",
143
                altpll_component.port_phasedone = "PORT_UNUSED",
144
                altpll_component.port_phasestep = "PORT_UNUSED",
145
                altpll_component.port_phaseupdown = "PORT_UNUSED",
146
                altpll_component.port_pllena = "PORT_UNUSED",
147
                altpll_component.port_scanaclr = "PORT_UNUSED",
148
                altpll_component.port_scanclk = "PORT_UNUSED",
149
                altpll_component.port_scanclkena = "PORT_UNUSED",
150
                altpll_component.port_scandata = "PORT_UNUSED",
151
                altpll_component.port_scandataout = "PORT_UNUSED",
152
                altpll_component.port_scandone = "PORT_UNUSED",
153
                altpll_component.port_scanread = "PORT_UNUSED",
154
                altpll_component.port_scanwrite = "PORT_UNUSED",
155
                altpll_component.port_clk0 = "PORT_USED",
156
                altpll_component.port_clk1 = "PORT_USED",
157
                altpll_component.port_clk2 = "PORT_USED",
158
                altpll_component.port_clk3 = "PORT_USED",
159
                altpll_component.port_clk4 = "PORT_UNUSED",
160
                altpll_component.port_clk5 = "PORT_UNUSED",
161
                altpll_component.port_clkena0 = "PORT_UNUSED",
162
                altpll_component.port_clkena1 = "PORT_UNUSED",
163
                altpll_component.port_clkena2 = "PORT_UNUSED",
164
                altpll_component.port_clkena3 = "PORT_UNUSED",
165
                altpll_component.port_clkena4 = "PORT_UNUSED",
166
                altpll_component.port_clkena5 = "PORT_UNUSED",
167
                altpll_component.port_extclk0 = "PORT_UNUSED",
168
                altpll_component.port_extclk1 = "PORT_UNUSED",
169
                altpll_component.port_extclk2 = "PORT_UNUSED",
170
                altpll_component.port_extclk3 = "PORT_UNUSED",
171
                altpll_component.self_reset_on_loss_lock = "ON",
172
                altpll_component.width_clock = 5;
173
 
174
 
175
endmodule
176
 
177
// ============================================================
178
// CNX file retrieval info
179
// ============================================================
180
// Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0"
181
// Retrieval info: PRIVATE: BANDWIDTH STRING "1.000"
182
// Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "1"
183
// Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz"
184
// Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low"
185
// Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1"
186
// Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0"
187
// Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0"
188
// Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0"
189
// Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "0"
190
// Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0"
191
// Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0"
192
// Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0"
193
// Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0"
194
// Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "c0"
195
// Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "6"
196
// Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1"
197
// Retrieval info: PRIVATE: DIV_FACTOR1 NUMERIC "1"
198
// Retrieval info: PRIVATE: DIV_FACTOR2 NUMERIC "1"
199
// Retrieval info: PRIVATE: DIV_FACTOR3 NUMERIC "1"
200
// Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000"
201
// Retrieval info: PRIVATE: DUTY_CYCLE1 STRING "50.00000000"
202
// Retrieval info: PRIVATE: DUTY_CYCLE2 STRING "50.00000000"
203
// Retrieval info: PRIVATE: DUTY_CYCLE3 STRING "50.00000000"
204
// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "25.000000"
205
// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE1 STRING "30.000000"
206
// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE2 STRING "35.000000"
207
// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE3 STRING "50.000000"
208
// Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0"
209
// Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0"
210
// Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1"
211
// Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "0"
212
// Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0"
213
// Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575"
214
// Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1"
215
// Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "50.000"
216
// Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz"
217
// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000"
218
// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1"
219
// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1"
220
// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz"
221
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone III"
222
// Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1"
223
// Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "1"
224
// Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1"
225
// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "300.000"
226
// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0"
227
// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg"
228
// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT1 STRING "ps"
229
// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT2 STRING "ps"
230
// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT3 STRING "ps"
231
// Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any"
232
// Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0"
233
// Retrieval info: PRIVATE: MIRROR_CLK1 STRING "0"
234
// Retrieval info: PRIVATE: MIRROR_CLK2 STRING "0"
235
// Retrieval info: PRIVATE: MIRROR_CLK3 STRING "0"
236
// Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "1"
237
// Retrieval info: PRIVATE: MULT_FACTOR1 NUMERIC "1"
238
// Retrieval info: PRIVATE: MULT_FACTOR2 NUMERIC "1"
239
// Retrieval info: PRIVATE: MULT_FACTOR3 NUMERIC "1"
240
// Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1"
241
// Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "25.00000000"
242
// Retrieval info: PRIVATE: OUTPUT_FREQ1 STRING "30.00000000"
243
// Retrieval info: PRIVATE: OUTPUT_FREQ2 STRING "35.00000000"
244
// Retrieval info: PRIVATE: OUTPUT_FREQ3 STRING "50.00000000"
245
// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "1"
246
// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE1 STRING "1"
247
// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE2 STRING "1"
248
// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE3 STRING "1"
249
// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz"
250
// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT1 STRING "MHz"
251
// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT2 STRING "MHz"
252
// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT3 STRING "MHz"
253
// Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "1"
254
// Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0"
255
// Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000"
256
// Retrieval info: PRIVATE: PHASE_SHIFT1 STRING "0.00000000"
257
// Retrieval info: PRIVATE: PHASE_SHIFT2 STRING "0.00000000"
258
// Retrieval info: PRIVATE: PHASE_SHIFT3 STRING "0.00000000"
259
// Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0"
260
// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg"
261
// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT1 STRING "ps"
262
// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT2 STRING "ps"
263
// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT3 STRING "ps"
264
// Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0"
265
// Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "0"
266
// Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1"
267
// Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0"
268
// Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0"
269
// Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0"
270
// Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0"
271
// Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0"
272
// Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0"
273
// Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0"
274
// Retrieval info: PRIVATE: RECONFIG_FILE STRING "altera_pll.mif"
275
// Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0"
276
// Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "1"
277
// Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "1"
278
// Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0"
279
// Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0"
280
// Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000"
281
// Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz"
282
// Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500"
283
// Retrieval info: PRIVATE: SPREAD_USE STRING "0"
284
// Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0"
285
// Retrieval info: PRIVATE: STICKY_CLK0 STRING "1"
286
// Retrieval info: PRIVATE: STICKY_CLK1 STRING "1"
287
// Retrieval info: PRIVATE: STICKY_CLK2 STRING "1"
288
// Retrieval info: PRIVATE: STICKY_CLK3 STRING "1"
289
// Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1"
290
// Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1"
291
// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "1"
292
// Retrieval info: PRIVATE: USE_CLK0 STRING "1"
293
// Retrieval info: PRIVATE: USE_CLK1 STRING "1"
294
// Retrieval info: PRIVATE: USE_CLK2 STRING "1"
295
// Retrieval info: PRIVATE: USE_CLK3 STRING "1"
296
// Retrieval info: PRIVATE: USE_CLKENA0 STRING "0"
297
// Retrieval info: PRIVATE: USE_CLKENA1 STRING "0"
298
// Retrieval info: PRIVATE: USE_CLKENA2 STRING "0"
299
// Retrieval info: PRIVATE: USE_CLKENA3 STRING "0"
300
// Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0"
301
// Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0"
302
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
303
// Retrieval info: CONSTANT: BANDWIDTH_TYPE STRING "AUTO"
304
// Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "2"
305
// Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50"
306
// Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "1"
307
// Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0"
308
// Retrieval info: CONSTANT: CLK1_DIVIDE_BY NUMERIC "5"
309
// Retrieval info: CONSTANT: CLK1_DUTY_CYCLE NUMERIC "50"
310
// Retrieval info: CONSTANT: CLK1_MULTIPLY_BY NUMERIC "3"
311
// Retrieval info: CONSTANT: CLK1_PHASE_SHIFT STRING "0"
312
// Retrieval info: CONSTANT: CLK2_DIVIDE_BY NUMERIC "10"
313
// Retrieval info: CONSTANT: CLK2_DUTY_CYCLE NUMERIC "50"
314
// Retrieval info: CONSTANT: CLK2_MULTIPLY_BY NUMERIC "7"
315
// Retrieval info: CONSTANT: CLK2_PHASE_SHIFT STRING "0"
316
// Retrieval info: CONSTANT: CLK3_DIVIDE_BY NUMERIC "1"
317
// Retrieval info: CONSTANT: CLK3_DUTY_CYCLE NUMERIC "50"
318
// Retrieval info: CONSTANT: CLK3_MULTIPLY_BY NUMERIC "1"
319
// Retrieval info: CONSTANT: CLK3_PHASE_SHIFT STRING "0"
320
// Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0"
321
// Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "20000"
322
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone III"
323
// Retrieval info: CONSTANT: LPM_TYPE STRING "altpll"
324
// Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL"
325
// Retrieval info: CONSTANT: PLL_TYPE STRING "AUTO"
326
// Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED"
327
// Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_UNUSED"
328
// Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED"
329
// Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED"
330
// Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED"
331
// Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED"
332
// Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED"
333
// Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED"
334
// Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED"
335
// Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED"
336
// Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_USED"
337
// Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED"
338
// Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED"
339
// Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED"
340
// Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED"
341
// Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED"
342
// Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED"
343
// Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED"
344
// Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED"
345
// Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED"
346
// Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED"
347
// Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED"
348
// Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED"
349
// Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED"
350
// Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED"
351
// Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED"
352
// Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_USED"
353
// Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_USED"
354
// Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_USED"
355
// Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED"
356
// Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED"
357
// Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED"
358
// Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED"
359
// Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED"
360
// Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED"
361
// Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED"
362
// Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED"
363
// Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED"
364
// Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED"
365
// Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED"
366
// Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED"
367
// Retrieval info: CONSTANT: SELF_RESET_ON_LOSS_LOCK STRING "ON"
368
// Retrieval info: CONSTANT: WIDTH_CLOCK NUMERIC "5"
369
// Retrieval info: USED_PORT: @clk 0 0 5 0 OUTPUT_CLK_EXT VCC "@clk[4..0]"
370
// Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0"
371
// Retrieval info: USED_PORT: c1 0 0 0 0 OUTPUT_CLK_EXT VCC "c1"
372
// Retrieval info: USED_PORT: c2 0 0 0 0 OUTPUT_CLK_EXT VCC "c2"
373
// Retrieval info: USED_PORT: c3 0 0 0 0 OUTPUT_CLK_EXT VCC "c3"
374
// Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0"
375
// Retrieval info: USED_PORT: locked 0 0 0 0 OUTPUT GND "locked"
376
// Retrieval info: CONNECT: locked 0 0 0 0 @locked 0 0 0 0
377
// Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0
378
// Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0
379
// Retrieval info: CONNECT: c1 0 0 0 0 @clk 0 0 1 1
380
// Retrieval info: CONNECT: c3 0 0 0 0 @clk 0 0 1 3
381
// Retrieval info: CONNECT: c2 0 0 0 0 @clk 0 0 1 2
382
// Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0
383
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_pll.v TRUE
384
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_pll.ppf TRUE
385
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_pll.inc FALSE
386
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_pll.cmp FALSE
387
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_pll.bsf TRUE FALSE
388
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_pll_inst.v TRUE
389
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_pll_bb.v TRUE
390
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_pll_waveforms.html TRUE
391
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_pll_wave*.jpg FALSE
392
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_pll_syn.v TRUE
393
// Retrieval info: LIB_FILE: altera_mf
394
// Retrieval info: CBX_MODULE_PREFIX: ON

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.