OpenCores
URL https://opencores.org/ocsvn/or1k_soc_on_altera_embedded_dev_kit/or1k_soc_on_altera_embedded_dev_kit/trunk

Subversion Repositories or1k_soc_on_altera_embedded_dev_kit

[/] [or1k_soc_on_altera_embedded_dev_kit/] [trunk/] [soc/] [rtl/] [altera_pll/] [altera_pll_syn.v] - Blame information for rev 12

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 xianfeng
// megafunction wizard: %ALTPLL%
2
// GENERATION: STANDARD
3
// VERSION: WM1.0
4
// MODULE: altpll 
5
 
6
// ============================================================
7
// File Name: altera_pll.v
8
// Megafunction Name(s):
9
//                      altpll
10
//
11
// Simulation Library Files(s):
12
//                      altera_mf
13
// ============================================================
14
// ************************************************************
15
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
16
//
17
// 9.0 Build 235 06/17/2009 SP 2 SJ Full Version
18
// ************************************************************
19
 
20
 
21
//Copyright (C) 1991-2009 Altera Corporation
22
//Your use of Altera Corporation's design tools, logic functions 
23
//and other software and tools, and its AMPP partner logic 
24
//functions, and any output files from any of the foregoing 
25
//(including device programming or simulation files), and any 
26
//associated documentation or information are expressly subject 
27
//to the terms and conditions of the Altera Program License 
28
//Subscription Agreement, Altera MegaCore Function License 
29
//Agreement, or other applicable license agreement, including, 
30
//without limitation, that your use is for the sole purpose of 
31
//programming logic devices manufactured by Altera and sold by 
32
//Altera or its authorized distributors.  Please refer to the 
33
//applicable agreement for further details.
34
 
35
 
36
//altpll bandwidth_type="AUTO" clk0_divide_by=2 clk0_duty_cycle=50 clk0_multiply_by=1 clk0_phase_shift="0" clk1_divide_by=5 clk1_duty_cycle=50 clk1_multiply_by=3 clk1_phase_shift="0" clk2_divide_by=10 clk2_duty_cycle=50 clk2_multiply_by=7 clk2_phase_shift="0" clk3_divide_by=1 clk3_duty_cycle=50 clk3_multiply_by=1 clk3_phase_shift="0" compensate_clock="CLK0" device_family="Cyclone III" inclk0_input_frequency=20000 intended_device_family="Cyclone III" operation_mode="normal" pll_type="AUTO" port_clk0="PORT_USED" port_clk1="PORT_USED" port_clk2="PORT_USED" port_clk3="PORT_USED" port_clk4="PORT_UNUSED" port_clk5="PORT_UNUSED" port_extclk0="PORT_UNUSED" port_extclk1="PORT_UNUSED" port_extclk2="PORT_UNUSED" port_extclk3="PORT_UNUSED" port_phasecounterselect="PORT_UNUSED" self_reset_on_loss_lock="ON" width_clock=5 clk inclk locked
37
//VERSION_BEGIN 9.0SP2 cbx_altpll 2009:02:02:16:49:10:SJ cbx_cycloneii 2008:05:19:10:57:37:SJ cbx_mgl 2009:02:26:16:06:21:SJ cbx_stratixii 2008:11:14:16:08:42:SJ cbx_util_mgl 2008:11:21:14:58:47:SJ  VERSION_END
38
// synthesis VERILOG_INPUT_VERSION VERILOG_2001
39
// altera message_off 10463
40
 
41
 
42
//synthesis_resources = cycloneiii_pll 1 
43
//synopsys translate_off
44
`timescale 1 ps / 1 ps
45
//synopsys translate_on
46
module  altera_pll_altpll
47
        (
48
        clk,
49
        inclk,
50
        locked) /* synthesis synthesis_clearbox=1 */;
51
        output   [4:0]  clk;
52
        input   [1:0]  inclk;
53
        output   locked;
54
`ifndef ALTERA_RESERVED_QIS
55
// synopsys translate_off
56
`endif
57
        tri0   [1:0]  inclk;
58
`ifndef ALTERA_RESERVED_QIS
59
// synopsys translate_on
60
`endif
61
 
62
        wire  [4:0]   wire_pll1_clk;
63
        wire  wire_pll1_fbout;
64
        wire  wire_pll1_locked;
65
 
66
        cycloneiii_pll   pll1
67
        (
68
        .activeclock(),
69
        .clk(wire_pll1_clk),
70
        .clkbad(),
71
        .fbin(wire_pll1_fbout),
72
        .fbout(wire_pll1_fbout),
73
        .inclk(inclk),
74
        .locked(wire_pll1_locked),
75
        .phasedone(),
76
        .scandataout(),
77
        .scandone(),
78
        .vcooverrange(),
79
        .vcounderrange()
80
        `ifndef FORMAL_VERIFICATION
81
        // synopsys translate_off
82
        `endif
83
        ,
84
        .areset(1'b0),
85
        .clkswitch(1'b0),
86
        .configupdate(1'b0),
87
        .pfdena(1'b1),
88
        .phasecounterselect({3{1'b0}}),
89
        .phasestep(1'b0),
90
        .phaseupdown(1'b0),
91
        .scanclk(1'b0),
92
        .scanclkena(1'b1),
93
        .scandata(1'b0)
94
        `ifndef FORMAL_VERIFICATION
95
        // synopsys translate_on
96
        `endif
97
        );
98
        defparam
99
                pll1.bandwidth_type = "auto",
100
                pll1.clk0_divide_by = 2,
101
                pll1.clk0_duty_cycle = 50,
102
                pll1.clk0_multiply_by = 1,
103
                pll1.clk0_phase_shift = "0",
104
                pll1.clk1_divide_by = 5,
105
                pll1.clk1_duty_cycle = 50,
106
                pll1.clk1_multiply_by = 3,
107
                pll1.clk1_phase_shift = "0",
108
                pll1.clk2_divide_by = 10,
109
                pll1.clk2_duty_cycle = 50,
110
                pll1.clk2_multiply_by = 7,
111
                pll1.clk2_phase_shift = "0",
112
                pll1.clk3_divide_by = 1,
113
                pll1.clk3_duty_cycle = 50,
114
                pll1.clk3_multiply_by = 1,
115
                pll1.clk3_phase_shift = "0",
116
                pll1.compensate_clock = "clk0",
117
                pll1.inclk0_input_frequency = 20000,
118
                pll1.operation_mode = "normal",
119
                pll1.pll_type = "auto",
120
                pll1.self_reset_on_loss_lock = "on",
121
                pll1.lpm_type = "cycloneiii_pll";
122
        assign
123
                clk = wire_pll1_clk,
124
                locked = wire_pll1_locked;
125
endmodule //altera_pll_altpll
126
//VALID FILE
127
 
128
 
129
// synopsys translate_off
130
`timescale 1 ps / 1 ps
131
// synopsys translate_on
132
module altera_pll (
133
        inclk0,
134
        c0,
135
        c1,
136
        c2,
137
        c3,
138
        locked)/* synthesis synthesis_clearbox = 1 */;
139
 
140
        input     inclk0;
141
        output    c0;
142
        output    c1;
143
        output    c2;
144
        output    c3;
145
        output    locked;
146
 
147
        wire [4:0] sub_wire0;
148
        wire  sub_wire5;
149
        wire [0:0] sub_wire8 = 1'h0;
150
        wire [3:3] sub_wire4 = sub_wire0[3:3];
151
        wire [2:2] sub_wire3 = sub_wire0[2:2];
152
        wire [1:1] sub_wire2 = sub_wire0[1:1];
153
        wire [0:0] sub_wire1 = sub_wire0[0:0];
154
        wire  c0 = sub_wire1;
155
        wire  c1 = sub_wire2;
156
        wire  c2 = sub_wire3;
157
        wire  c3 = sub_wire4;
158
        wire  locked = sub_wire5;
159
        wire  sub_wire6 = inclk0;
160
        wire [1:0] sub_wire7 = {sub_wire8, sub_wire6};
161
 
162
        altera_pll_altpll       altera_pll_altpll_component (
163
                                .inclk (sub_wire7),
164
                                .clk (sub_wire0),
165
                                .locked (sub_wire5));
166
 
167
endmodule
168
 
169
// ============================================================
170
// CNX file retrieval info
171
// ============================================================
172
// Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0"
173
// Retrieval info: PRIVATE: BANDWIDTH STRING "1.000"
174
// Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "1"
175
// Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz"
176
// Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low"
177
// Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1"
178
// Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0"
179
// Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0"
180
// Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0"
181
// Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "0"
182
// Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0"
183
// Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0"
184
// Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0"
185
// Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0"
186
// Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "c0"
187
// Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "6"
188
// Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1"
189
// Retrieval info: PRIVATE: DIV_FACTOR1 NUMERIC "1"
190
// Retrieval info: PRIVATE: DIV_FACTOR2 NUMERIC "1"
191
// Retrieval info: PRIVATE: DIV_FACTOR3 NUMERIC "1"
192
// Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000"
193
// Retrieval info: PRIVATE: DUTY_CYCLE1 STRING "50.00000000"
194
// Retrieval info: PRIVATE: DUTY_CYCLE2 STRING "50.00000000"
195
// Retrieval info: PRIVATE: DUTY_CYCLE3 STRING "50.00000000"
196
// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "25.000000"
197
// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE1 STRING "30.000000"
198
// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE2 STRING "35.000000"
199
// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE3 STRING "50.000000"
200
// Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0"
201
// Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0"
202
// Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1"
203
// Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "0"
204
// Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0"
205
// Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575"
206
// Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1"
207
// Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "50.000"
208
// Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz"
209
// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000"
210
// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1"
211
// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1"
212
// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz"
213
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone III"
214
// Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1"
215
// Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "1"
216
// Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1"
217
// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "300.000"
218
// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0"
219
// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg"
220
// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT1 STRING "ps"
221
// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT2 STRING "ps"
222
// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT3 STRING "ps"
223
// Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any"
224
// Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0"
225
// Retrieval info: PRIVATE: MIRROR_CLK1 STRING "0"
226
// Retrieval info: PRIVATE: MIRROR_CLK2 STRING "0"
227
// Retrieval info: PRIVATE: MIRROR_CLK3 STRING "0"
228
// Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "1"
229
// Retrieval info: PRIVATE: MULT_FACTOR1 NUMERIC "1"
230
// Retrieval info: PRIVATE: MULT_FACTOR2 NUMERIC "1"
231
// Retrieval info: PRIVATE: MULT_FACTOR3 NUMERIC "1"
232
// Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1"
233
// Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "25.00000000"
234
// Retrieval info: PRIVATE: OUTPUT_FREQ1 STRING "30.00000000"
235
// Retrieval info: PRIVATE: OUTPUT_FREQ2 STRING "35.00000000"
236
// Retrieval info: PRIVATE: OUTPUT_FREQ3 STRING "50.00000000"
237
// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "1"
238
// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE1 STRING "1"
239
// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE2 STRING "1"
240
// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE3 STRING "1"
241
// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz"
242
// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT1 STRING "MHz"
243
// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT2 STRING "MHz"
244
// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT3 STRING "MHz"
245
// Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "1"
246
// Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0"
247
// Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000"
248
// Retrieval info: PRIVATE: PHASE_SHIFT1 STRING "0.00000000"
249
// Retrieval info: PRIVATE: PHASE_SHIFT2 STRING "0.00000000"
250
// Retrieval info: PRIVATE: PHASE_SHIFT3 STRING "0.00000000"
251
// Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0"
252
// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg"
253
// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT1 STRING "ps"
254
// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT2 STRING "ps"
255
// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT3 STRING "ps"
256
// Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0"
257
// Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "0"
258
// Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1"
259
// Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0"
260
// Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0"
261
// Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0"
262
// Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0"
263
// Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0"
264
// Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0"
265
// Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0"
266
// Retrieval info: PRIVATE: RECONFIG_FILE STRING "altera_pll.mif"
267
// Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0"
268
// Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "1"
269
// Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "1"
270
// Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0"
271
// Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0"
272
// Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000"
273
// Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz"
274
// Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500"
275
// Retrieval info: PRIVATE: SPREAD_USE STRING "0"
276
// Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0"
277
// Retrieval info: PRIVATE: STICKY_CLK0 STRING "1"
278
// Retrieval info: PRIVATE: STICKY_CLK1 STRING "1"
279
// Retrieval info: PRIVATE: STICKY_CLK2 STRING "1"
280
// Retrieval info: PRIVATE: STICKY_CLK3 STRING "1"
281
// Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1"
282
// Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1"
283
// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "1"
284
// Retrieval info: PRIVATE: USE_CLK0 STRING "1"
285
// Retrieval info: PRIVATE: USE_CLK1 STRING "1"
286
// Retrieval info: PRIVATE: USE_CLK2 STRING "1"
287
// Retrieval info: PRIVATE: USE_CLK3 STRING "1"
288
// Retrieval info: PRIVATE: USE_CLKENA0 STRING "0"
289
// Retrieval info: PRIVATE: USE_CLKENA1 STRING "0"
290
// Retrieval info: PRIVATE: USE_CLKENA2 STRING "0"
291
// Retrieval info: PRIVATE: USE_CLKENA3 STRING "0"
292
// Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0"
293
// Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0"
294
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
295
// Retrieval info: CONSTANT: BANDWIDTH_TYPE STRING "AUTO"
296
// Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "2"
297
// Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50"
298
// Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "1"
299
// Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0"
300
// Retrieval info: CONSTANT: CLK1_DIVIDE_BY NUMERIC "5"
301
// Retrieval info: CONSTANT: CLK1_DUTY_CYCLE NUMERIC "50"
302
// Retrieval info: CONSTANT: CLK1_MULTIPLY_BY NUMERIC "3"
303
// Retrieval info: CONSTANT: CLK1_PHASE_SHIFT STRING "0"
304
// Retrieval info: CONSTANT: CLK2_DIVIDE_BY NUMERIC "10"
305
// Retrieval info: CONSTANT: CLK2_DUTY_CYCLE NUMERIC "50"
306
// Retrieval info: CONSTANT: CLK2_MULTIPLY_BY NUMERIC "7"
307
// Retrieval info: CONSTANT: CLK2_PHASE_SHIFT STRING "0"
308
// Retrieval info: CONSTANT: CLK3_DIVIDE_BY NUMERIC "1"
309
// Retrieval info: CONSTANT: CLK3_DUTY_CYCLE NUMERIC "50"
310
// Retrieval info: CONSTANT: CLK3_MULTIPLY_BY NUMERIC "1"
311
// Retrieval info: CONSTANT: CLK3_PHASE_SHIFT STRING "0"
312
// Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0"
313
// Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "20000"
314
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone III"
315
// Retrieval info: CONSTANT: LPM_TYPE STRING "altpll"
316
// Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL"
317
// Retrieval info: CONSTANT: PLL_TYPE STRING "AUTO"
318
// Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED"
319
// Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_UNUSED"
320
// Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED"
321
// Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED"
322
// Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED"
323
// Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED"
324
// Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED"
325
// Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED"
326
// Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED"
327
// Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED"
328
// Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_USED"
329
// Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED"
330
// Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED"
331
// Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED"
332
// Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED"
333
// Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED"
334
// Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED"
335
// Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED"
336
// Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED"
337
// Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED"
338
// Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED"
339
// Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED"
340
// Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED"
341
// Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED"
342
// Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED"
343
// Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED"
344
// Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_USED"
345
// Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_USED"
346
// Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_USED"
347
// Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED"
348
// Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED"
349
// Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED"
350
// Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED"
351
// Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED"
352
// Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED"
353
// Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED"
354
// Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED"
355
// Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED"
356
// Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED"
357
// Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED"
358
// Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED"
359
// Retrieval info: CONSTANT: SELF_RESET_ON_LOSS_LOCK STRING "ON"
360
// Retrieval info: CONSTANT: WIDTH_CLOCK NUMERIC "5"
361
// Retrieval info: USED_PORT: @clk 0 0 5 0 OUTPUT_CLK_EXT VCC "@clk[4..0]"
362
// Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0"
363
// Retrieval info: USED_PORT: c1 0 0 0 0 OUTPUT_CLK_EXT VCC "c1"
364
// Retrieval info: USED_PORT: c2 0 0 0 0 OUTPUT_CLK_EXT VCC "c2"
365
// Retrieval info: USED_PORT: c3 0 0 0 0 OUTPUT_CLK_EXT VCC "c3"
366
// Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0"
367
// Retrieval info: USED_PORT: locked 0 0 0 0 OUTPUT GND "locked"
368
// Retrieval info: CONNECT: locked 0 0 0 0 @locked 0 0 0 0
369
// Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0
370
// Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0
371
// Retrieval info: CONNECT: c1 0 0 0 0 @clk 0 0 1 1
372
// Retrieval info: CONNECT: c3 0 0 0 0 @clk 0 0 1 3
373
// Retrieval info: CONNECT: c2 0 0 0 0 @clk 0 0 1 2
374
// Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0
375
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_pll.v TRUE
376
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_pll.ppf TRUE
377
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_pll.inc FALSE
378
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_pll.cmp FALSE
379
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_pll.bsf TRUE FALSE
380
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_pll_inst.v TRUE
381
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_pll_bb.v TRUE
382
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_pll_waveforms.html TRUE
383
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_pll_wave*.jpg FALSE
384
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_pll_syn.v TRUE
385
// Retrieval info: LIB_FILE: altera_mf
386
// Retrieval info: CBX_MODULE_PREFIX: ON

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.