OpenCores
URL https://opencores.org/ocsvn/or1k_soc_on_altera_embedded_dev_kit/or1k_soc_on_altera_embedded_dev_kit/trunk

Subversion Repositories or1k_soc_on_altera_embedded_dev_kit

[/] [or1k_soc_on_altera_embedded_dev_kit/] [trunk/] [soc/] [rtl/] [altera_ram/] [altera_ram.v] - Blame information for rev 12

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 xianfeng
// megafunction wizard: %RAM: 1-PORT%
2
// GENERATION: STANDARD
3
// VERSION: WM1.0
4
// MODULE: altsyncram 
5
 
6
// ============================================================
7
// File Name: altera_ram.v
8
// Megafunction Name(s):
9
//                      altsyncram
10
//
11
// Simulation Library Files(s):
12
//                      altera_mf
13
// ============================================================
14
// ************************************************************
15
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
16
//
17
// 9.0 Build 235 06/17/2009 SP 2 SJ Full Version
18
// ************************************************************
19
 
20
 
21
//Copyright (C) 1991-2009 Altera Corporation
22
//Your use of Altera Corporation's design tools, logic functions 
23
//and other software and tools, and its AMPP partner logic 
24
//functions, and any output files from any of the foregoing 
25
//(including device programming or simulation files), and any 
26
//associated documentation or information are expressly subject 
27
//to the terms and conditions of the Altera Program License 
28
//Subscription Agreement, Altera MegaCore Function License 
29
//Agreement, or other applicable license agreement, including, 
30
//without limitation, that your use is for the sole purpose of 
31
//programming logic devices manufactured by Altera and sold by 
32
//Altera or its authorized distributors.  Please refer to the 
33
//applicable agreement for further details.
34
 
35
 
36
// synopsys translate_off
37
`timescale 1 ps / 1 ps
38
// synopsys translate_on
39
module altera_ram (
40
        address,
41
        clock,
42
        data,
43
        wren,
44
        q);
45
 
46
        input   [13:0]  address;
47
        input     clock;
48
        input   [7:0]  data;
49
        input     wren;
50
        output  [7:0]  q;
51
`ifndef ALTERA_RESERVED_QIS
52
// synopsys translate_off
53
`endif
54
        tri1      clock;
55
`ifndef ALTERA_RESERVED_QIS
56
// synopsys translate_on
57
`endif
58
 
59
        wire [7:0] sub_wire0;
60
        wire [7:0] q = sub_wire0[7:0];
61
 
62
        altsyncram      altsyncram_component (
63
                                .wren_a (wren),
64
                                .clock0 (clock),
65
                                .address_a (address),
66
                                .data_a (data),
67
                                .q_a (sub_wire0),
68
                                .aclr0 (1'b0),
69
                                .aclr1 (1'b0),
70
                                .address_b (1'b1),
71
                                .addressstall_a (1'b0),
72
                                .addressstall_b (1'b0),
73
                                .byteena_a (1'b1),
74
                                .byteena_b (1'b1),
75
                                .clock1 (1'b1),
76
                                .clocken0 (1'b1),
77
                                .clocken1 (1'b1),
78
                                .clocken2 (1'b1),
79
                                .clocken3 (1'b1),
80
                                .data_b (1'b1),
81
                                .eccstatus (),
82
                                .q_b (),
83
                                .rden_a (1'b1),
84
                                .rden_b (1'b1),
85
                                .wren_b (1'b0));
86
        defparam
87
                altsyncram_component.clock_enable_input_a = "BYPASS",
88
                altsyncram_component.clock_enable_output_a = "BYPASS",
89
                altsyncram_component.init_file = "./bootrom/boot.mif",
90
                altsyncram_component.intended_device_family = "Cyclone III",
91
                altsyncram_component.lpm_hint = "ENABLE_RUNTIME_MOD=NO",
92
                altsyncram_component.lpm_type = "altsyncram",
93
                altsyncram_component.numwords_a = 16384,
94
                altsyncram_component.operation_mode = "SINGLE_PORT",
95
                altsyncram_component.outdata_aclr_a = "NONE",
96
                altsyncram_component.outdata_reg_a = "CLOCK0",
97
                altsyncram_component.power_up_uninitialized = "FALSE",
98
                altsyncram_component.read_during_write_mode_port_a = "NEW_DATA_NO_NBE_READ",
99
                altsyncram_component.widthad_a = 14,
100
                altsyncram_component.width_a = 8,
101
                altsyncram_component.width_byteena_a = 1;
102
 
103
 
104
endmodule
105
 
106
// ============================================================
107
// CNX file retrieval info
108
// ============================================================
109
// Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"
110
// Retrieval info: PRIVATE: AclrAddr NUMERIC "0"
111
// Retrieval info: PRIVATE: AclrByte NUMERIC "0"
112
// Retrieval info: PRIVATE: AclrData NUMERIC "0"
113
// Retrieval info: PRIVATE: AclrOutput NUMERIC "0"
114
// Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "0"
115
// Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8"
116
// Retrieval info: PRIVATE: BlankMemory NUMERIC "0"
117
// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0"
118
// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0"
119
// Retrieval info: PRIVATE: Clken NUMERIC "0"
120
// Retrieval info: PRIVATE: DataBusSeparated NUMERIC "1"
121
// Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "1"
122
// Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A"
123
// Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0"
124
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone III"
125
// Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0"
126
// Retrieval info: PRIVATE: JTAG_ID STRING "NONE"
127
// Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
128
// Retrieval info: PRIVATE: MIFfilename STRING "./bootrom/boot.mif"
129
// Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "16384"
130
// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
131
// Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_A NUMERIC "3"
132
// Retrieval info: PRIVATE: RegAddr NUMERIC "1"
133
// Retrieval info: PRIVATE: RegData NUMERIC "1"
134
// Retrieval info: PRIVATE: RegOutput NUMERIC "1"
135
// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "1"
136
// Retrieval info: PRIVATE: SingleClock NUMERIC "1"
137
// Retrieval info: PRIVATE: UseDQRAM NUMERIC "1"
138
// Retrieval info: PRIVATE: WRCONTROL_ACLR_A NUMERIC "0"
139
// Retrieval info: PRIVATE: WidthAddr NUMERIC "14"
140
// Retrieval info: PRIVATE: WidthData NUMERIC "8"
141
// Retrieval info: PRIVATE: rden NUMERIC "0"
142
// Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS"
143
// Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS"
144
// Retrieval info: CONSTANT: INIT_FILE STRING "./bootrom/boot.mif"
145
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone III"
146
// Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO"
147
// Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"
148
// Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "16384"
149
// Retrieval info: CONSTANT: OPERATION_MODE STRING "SINGLE_PORT"
150
// Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE"
151
// Retrieval info: CONSTANT: OUTDATA_REG_A STRING "CLOCK0"
152
// Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE"
153
// Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_PORT_A STRING "NEW_DATA_NO_NBE_READ"
154
// Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "14"
155
// Retrieval info: CONSTANT: WIDTH_A NUMERIC "8"
156
// Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1"
157
// Retrieval info: USED_PORT: address 0 0 14 0 INPUT NODEFVAL address[13..0]
158
// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC clock
159
// Retrieval info: USED_PORT: data 0 0 8 0 INPUT NODEFVAL data[7..0]
160
// Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL q[7..0]
161
// Retrieval info: USED_PORT: wren 0 0 0 0 INPUT NODEFVAL wren
162
// Retrieval info: CONNECT: @address_a 0 0 14 0 address 0 0 14 0
163
// Retrieval info: CONNECT: q 0 0 8 0 @q_a 0 0 8 0
164
// Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0
165
// Retrieval info: CONNECT: @data_a 0 0 8 0 data 0 0 8 0
166
// Retrieval info: CONNECT: @wren_a 0 0 0 0 wren 0 0 0 0
167
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
168
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_ram.v TRUE
169
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_ram.inc FALSE
170
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_ram.cmp FALSE
171
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_ram.bsf TRUE FALSE
172
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_ram_inst.v TRUE
173
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_ram_bb.v TRUE
174
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_ram_waveforms.html TRUE
175
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_ram_wave*.jpg FALSE
176
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_ram_syn.v TRUE
177
// Retrieval info: LIB_FILE: altera_mf

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.