OpenCores
URL https://opencores.org/ocsvn/or1k_soc_on_altera_embedded_dev_kit/or1k_soc_on_altera_embedded_dev_kit/trunk

Subversion Repositories or1k_soc_on_altera_embedded_dev_kit

[/] [or1k_soc_on_altera_embedded_dev_kit/] [trunk/] [soc/] [rtl/] [altera_ram/] [altera_ram_bb.v] - Blame information for rev 12

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 xianfeng
// megafunction wizard: %RAM: 1-PORT%VBB%
2
// GENERATION: STANDARD
3
// VERSION: WM1.0
4
// MODULE: altsyncram 
5
 
6
// ============================================================
7
// File Name: altera_ram.v
8
// Megafunction Name(s):
9
//                      altsyncram
10
//
11
// Simulation Library Files(s):
12
//                      altera_mf
13
// ============================================================
14
// ************************************************************
15
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
16
//
17
// 9.0 Build 235 06/17/2009 SP 2 SJ Full Version
18
// ************************************************************
19
 
20
//Copyright (C) 1991-2009 Altera Corporation
21
//Your use of Altera Corporation's design tools, logic functions 
22
//and other software and tools, and its AMPP partner logic 
23
//functions, and any output files from any of the foregoing 
24
//(including device programming or simulation files), and any 
25
//associated documentation or information are expressly subject 
26
//to the terms and conditions of the Altera Program License 
27
//Subscription Agreement, Altera MegaCore Function License 
28
//Agreement, or other applicable license agreement, including, 
29
//without limitation, that your use is for the sole purpose of 
30
//programming logic devices manufactured by Altera and sold by 
31
//Altera or its authorized distributors.  Please refer to the 
32
//applicable agreement for further details.
33
 
34
module altera_ram (
35
        address,
36
        clock,
37
        data,
38
        wren,
39
        q);
40
 
41
        input   [13:0]  address;
42
        input     clock;
43
        input   [7:0]  data;
44
        input     wren;
45
        output  [7:0]  q;
46
`ifndef ALTERA_RESERVED_QIS
47
// synopsys translate_off
48
`endif
49
        tri1      clock;
50
`ifndef ALTERA_RESERVED_QIS
51
// synopsys translate_on
52
`endif
53
 
54
endmodule
55
 
56
// ============================================================
57
// CNX file retrieval info
58
// ============================================================
59
// Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"
60
// Retrieval info: PRIVATE: AclrAddr NUMERIC "0"
61
// Retrieval info: PRIVATE: AclrByte NUMERIC "0"
62
// Retrieval info: PRIVATE: AclrData NUMERIC "0"
63
// Retrieval info: PRIVATE: AclrOutput NUMERIC "0"
64
// Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "0"
65
// Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8"
66
// Retrieval info: PRIVATE: BlankMemory NUMERIC "0"
67
// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0"
68
// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0"
69
// Retrieval info: PRIVATE: Clken NUMERIC "0"
70
// Retrieval info: PRIVATE: DataBusSeparated NUMERIC "1"
71
// Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "1"
72
// Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A"
73
// Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0"
74
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone III"
75
// Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0"
76
// Retrieval info: PRIVATE: JTAG_ID STRING "NONE"
77
// Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
78
// Retrieval info: PRIVATE: MIFfilename STRING "./bootrom/boot.mif"
79
// Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "16384"
80
// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
81
// Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_A NUMERIC "3"
82
// Retrieval info: PRIVATE: RegAddr NUMERIC "1"
83
// Retrieval info: PRIVATE: RegData NUMERIC "1"
84
// Retrieval info: PRIVATE: RegOutput NUMERIC "1"
85
// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "1"
86
// Retrieval info: PRIVATE: SingleClock NUMERIC "1"
87
// Retrieval info: PRIVATE: UseDQRAM NUMERIC "1"
88
// Retrieval info: PRIVATE: WRCONTROL_ACLR_A NUMERIC "0"
89
// Retrieval info: PRIVATE: WidthAddr NUMERIC "14"
90
// Retrieval info: PRIVATE: WidthData NUMERIC "8"
91
// Retrieval info: PRIVATE: rden NUMERIC "0"
92
// Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS"
93
// Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS"
94
// Retrieval info: CONSTANT: INIT_FILE STRING "./bootrom/boot.mif"
95
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone III"
96
// Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO"
97
// Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"
98
// Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "16384"
99
// Retrieval info: CONSTANT: OPERATION_MODE STRING "SINGLE_PORT"
100
// Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE"
101
// Retrieval info: CONSTANT: OUTDATA_REG_A STRING "CLOCK0"
102
// Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE"
103
// Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_PORT_A STRING "NEW_DATA_NO_NBE_READ"
104
// Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "14"
105
// Retrieval info: CONSTANT: WIDTH_A NUMERIC "8"
106
// Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1"
107
// Retrieval info: USED_PORT: address 0 0 14 0 INPUT NODEFVAL address[13..0]
108
// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC clock
109
// Retrieval info: USED_PORT: data 0 0 8 0 INPUT NODEFVAL data[7..0]
110
// Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL q[7..0]
111
// Retrieval info: USED_PORT: wren 0 0 0 0 INPUT NODEFVAL wren
112
// Retrieval info: CONNECT: @address_a 0 0 14 0 address 0 0 14 0
113
// Retrieval info: CONNECT: q 0 0 8 0 @q_a 0 0 8 0
114
// Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0
115
// Retrieval info: CONNECT: @data_a 0 0 8 0 data 0 0 8 0
116
// Retrieval info: CONNECT: @wren_a 0 0 0 0 wren 0 0 0 0
117
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
118
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_ram.v TRUE
119
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_ram.inc FALSE
120
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_ram.cmp FALSE
121
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_ram.bsf TRUE FALSE
122
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_ram_inst.v TRUE
123
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_ram_bb.v TRUE
124
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_ram_waveforms.html TRUE
125
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_ram_wave*.jpg FALSE
126
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_ram_syn.v TRUE
127
// Retrieval info: LIB_FILE: altera_mf

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.