OpenCores
URL https://opencores.org/ocsvn/or1k_soc_on_altera_embedded_dev_kit/or1k_soc_on_altera_embedded_dev_kit/trunk

Subversion Repositories or1k_soc_on_altera_embedded_dev_kit

[/] [or1k_soc_on_altera_embedded_dev_kit/] [trunk/] [soc/] [rtl/] [mem_if/] [rtl/] [verilog/] [mc_obct.v] - Blame information for rev 12

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 xianfeng
/////////////////////////////////////////////////////////////////////
2
////                                                             ////
3
////  WISHBONE Memory Controller                                 ////
4
////  Open Bank & Row Tracking Block                             ////
5
////                                                             ////
6
////                                                             ////
7
////  Author: Rudolf Usselmann                                   ////
8
////          rudi@asics.ws                                      ////
9
////                                                             ////
10
////                                                             ////
11
////  Downloaded from: http://www.opencores.org/cores/mem_ctrl/  ////
12
////                                                             ////
13
/////////////////////////////////////////////////////////////////////
14
////                                                             ////
15
//// Copyright (C) 2000-2002 Rudolf Usselmann                    ////
16
////                         www.asics.ws                        ////
17
////                         rudi@asics.ws                       ////
18
////                                                             ////
19
//// This source file may be used and distributed without        ////
20
//// restriction provided that this copyright statement is not   ////
21
//// removed from the file and that any derivative work contains ////
22
//// the original copyright notice and the associated disclaimer.////
23
////                                                             ////
24
////     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     ////
25
//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   ////
26
//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   ////
27
//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      ////
28
//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         ////
29
//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    ////
30
//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   ////
31
//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        ////
32
//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  ////
33
//// LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  ////
34
//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  ////
35
//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         ////
36
//// POSSIBILITY OF SUCH DAMAGE.                                 ////
37
////                                                             ////
38
/////////////////////////////////////////////////////////////////////
39
 
40
//  CVS Log
41
//
42
//  $Id: mc_obct.v,v 1.4 2002-01-21 13:08:52 rudi Exp $
43
//
44
//  $Date: 2002-01-21 13:08:52 $
45
//  $Revision: 1.4 $
46
//  $Author: rudi $
47
//  $Locker:  $
48
//  $State: Exp $
49
//
50
// Change History:
51
//               $Log: not supported by cvs2svn $
52
//               Revision 1.3  2001/11/29 02:16:28  rudi
53
//
54
//
55
//               - More Synthesis cleanup, mostly for speed
56
//               - Several bug fixes
57
//               - Changed code to avoid auto-precharge and
58
//                 burst-terminate combinations (apparently illegal ?)
59
//                 Now we will do a manual precharge ...
60
//
61
//               Revision 1.2  2001/09/24 00:38:21  rudi
62
//
63
//               Changed Reset to be active high and async.
64
//
65
//               Revision 1.1  2001/07/29 07:34:41  rudi
66
//
67
//
68
//               1) Changed Directory Structure
69
//               2) Fixed several minor bugs
70
//
71
//               Revision 1.3  2001/06/12 15:19:49  rudi
72
//
73
//
74
//               Minor changes after running lint, and a small bug fix reading csr and ba_mask registers.
75
//
76
//               Revision 1.2  2001/06/03 11:37:17  rudi
77
//
78
//
79
//               1) Fixed Chip Select Mask Register
80
//                      - Power On Value is now all ones
81
//                      - Comparison Logic is now correct
82
//
83
//               2) All resets are now asynchronous
84
//
85
//               3) Converted Power On Delay to an configurable item
86
//
87
//               4) Added reset to Chip Select Output Registers
88
//
89
//               5) Forcing all outputs to Hi-Z state during reset
90
//
91
//               Revision 1.1.1.1  2001/05/13 09:39:45  rudi
92
//               Created Directory Structure
93
//
94
//
95
//
96
//
97
 
98
`include "mc_defines.v"
99
 
100
module mc_obct(clk, rst, row_adr, bank_adr, bank_set, bank_clr, bank_clr_all,
101
                bank_open, any_bank_open, row_same);
102
input           clk, rst;
103
input   [12:0]   row_adr;
104
input   [1:0]    bank_adr;
105
input           bank_set;
106
input           bank_clr;
107
input           bank_clr_all;
108
output          bank_open;
109
output          any_bank_open;
110
output          row_same;
111
 
112
////////////////////////////////////////////////////////////////////
113
//
114
// Local Registers & Wires
115
//
116
 
117
reg             bank0_open, bank1_open, bank2_open, bank3_open;
118
reg             bank_open;
119
reg     [12:0]   b0_last_row;
120
reg     [12:0]   b1_last_row;
121
reg     [12:0]   b2_last_row;
122
reg     [12:0]   b3_last_row;
123
wire            row0_same, row1_same, row2_same, row3_same;
124
reg             row_same;
125
 
126
////////////////////////////////////////////////////////////////////
127
//
128
// Bank Open/Closed Tracking
129
//
130
 
131
always @(posedge clk or posedge rst)
132
        if(rst)                                 bank0_open <= #1 1'b0;
133
        else
134
        if((bank_adr == 2'h0) & bank_set)       bank0_open <= #1 1'b1;
135
        else
136
        if((bank_adr == 2'h0) & bank_clr)       bank0_open <= #1 1'b0;
137
        else
138
        if(bank_clr_all)                        bank0_open <= #1 1'b0;
139
 
140
always @(posedge clk or posedge rst)
141
        if(rst)                                 bank1_open <= #1 1'b0;
142
        else
143
        if((bank_adr == 2'h1) & bank_set)       bank1_open <= #1 1'b1;
144
        else
145
        if((bank_adr == 2'h1) & bank_clr)       bank1_open <= #1 1'b0;
146
        else
147
        if(bank_clr_all)                        bank1_open <= #1 1'b0;
148
 
149
always @(posedge clk or posedge rst)
150
        if(rst)                                 bank2_open <= #1 1'b0;
151
        else
152
        if((bank_adr == 2'h2) & bank_set)       bank2_open <= #1 1'b1;
153
        else
154
        if((bank_adr == 2'h2) & bank_clr)       bank2_open <= #1 1'b0;
155
        else
156
        if(bank_clr_all)                        bank2_open <= #1 1'b0;
157
 
158
always @(posedge clk or posedge rst)
159
        if(rst)                                 bank3_open <= #1 1'b0;
160
        else
161
        if((bank_adr == 2'h3) & bank_set)       bank3_open <= #1 1'b1;
162
        else
163
        if((bank_adr == 2'h3) & bank_clr)       bank3_open <= #1 1'b0;
164
        else
165
        if(bank_clr_all)                        bank3_open <= #1 1'b0;
166
 
167
always @(bank_adr or bank0_open or bank1_open or bank2_open or bank3_open)
168
        case(bank_adr)          // synopsys full_case parallel_case
169
           2'h0: bank_open = bank0_open;
170
           2'h1: bank_open = bank1_open;
171
           2'h2: bank_open = bank2_open;
172
           2'h3: bank_open = bank3_open;
173
        endcase
174
 
175
assign any_bank_open = bank0_open | bank1_open | bank2_open | bank3_open;
176
 
177
////////////////////////////////////////////////////////////////////
178
//
179
// Raw Address Tracking
180
//
181
 
182
always @(posedge clk)
183
        if((bank_adr == 2'h0) & bank_set)       b0_last_row <= #1 row_adr;
184
 
185
always @(posedge clk)
186
        if((bank_adr == 2'h1) & bank_set)       b1_last_row <= #1 row_adr;
187
 
188
always @(posedge clk)
189
        if((bank_adr == 2'h2) & bank_set)       b2_last_row <= #1 row_adr;
190
 
191
always @(posedge clk)
192
        if((bank_adr == 2'h3) & bank_set)       b3_last_row <= #1 row_adr;
193
 
194
////////////////////////////////////////////////////////////////////
195
//
196
// Raw address checking
197
//
198
 
199
assign row0_same = (b0_last_row == row_adr);
200
assign row1_same = (b1_last_row == row_adr);
201
assign row2_same = (b2_last_row == row_adr);
202
assign row3_same = (b3_last_row == row_adr);
203
 
204
always @(bank_adr or row0_same or row1_same or row2_same or row3_same)
205
        case(bank_adr)          // synopsys full_case parallel_case
206
           2'h0: row_same = row0_same;
207
           2'h1: row_same = row1_same;
208
           2'h2: row_same = row2_same;
209
           2'h3: row_same = row3_same;
210
        endcase
211
 
212
endmodule
213
 
214
 
215
// This is used for unused Chip Selects
216
module mc_obct_dummy(clk, rst, row_adr, bank_adr, bank_set, bank_clr, bank_clr_all,
217
                bank_open, any_bank_open, row_same);
218
input           clk, rst;
219
input   [12:0]   row_adr;
220
input   [1:0]    bank_adr;
221
input           bank_set;
222
input           bank_clr;
223
input           bank_clr_all;
224
output          bank_open;
225
output          any_bank_open;
226
output          row_same;
227
 
228
assign bank_open = 1'b0;
229
assign any_bank_open = 1'b0;
230
assign row_same = 1'b0;
231
 
232
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.