OpenCores
URL https://opencores.org/ocsvn/or1k_soc_on_altera_embedded_dev_kit/or1k_soc_on_altera_embedded_dev_kit/trunk

Subversion Repositories or1k_soc_on_altera_embedded_dev_kit

[/] [or1k_soc_on_altera_embedded_dev_kit/] [trunk/] [soc/] [rtl/] [mmc_sd/] [RTL/] [ctrlStsRegBI.v] - Blame information for rev 12

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 xianfeng
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// ctrlStsRegBI.v                                               ////
4
////                                                              ////
5
//// This file is part of the spiMaster opencores effort.
6
//// <http://www.opencores.org/cores//>                           ////
7
////                                                              ////
8
//// Module Description:                                          ////
9
//// Wishbone bus interface to spiMaster control and status regs
10
////                                                              ////
11
//// To Do:                                                       ////
12
//// 
13
////                                                              ////
14
//// Author(s):                                                   ////
15
//// - Steve Fielding, sfielding@base2designs.com                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2008 Steve Fielding and OPENCORES.ORG          ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE. See the GNU Lesser General Public License for more  ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from <http://www.opencores.org/lgpl.shtml>                   ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
`include "timescale.v"
45
`include "spiMaster_defines.v"
46
 
47
module ctrlStsRegBI (
48
  busClk,
49
  rstFromWire,
50
  dataIn,
51
  dataOut,
52
  address,
53
  writeEn,
54
  strobe_i,
55
  spiSysClk,
56
  spiTransType,
57
  spiTransCtrl,
58
  spiTransStatus,
59
  spiDirectAccessTxData,
60
  spiDirectAccessRxData,
61
  ctrlStsRegSel,
62
  rstSyncToBusClkOut,
63
  rstSyncToSpiClkOut,
64
  SDWriteError,
65
  SDReadError,
66
  SDInitError,
67
  SDAddr,
68
  spiClkDelay
69
);
70
 
71
input [7:0] dataIn;
72
input [7:0] address;
73
input writeEn;
74
input strobe_i;
75
input busClk;
76
input spiSysClk;
77
output [7:0] dataOut;
78
input ctrlStsRegSel;
79
output [1:0] spiTransType;
80
output spiTransCtrl;
81
input spiTransStatus;
82
output [7:0] spiDirectAccessTxData;
83
reg [7:0] spiDirectAccessTxData;
84
input [7:0] spiDirectAccessRxData;
85
input rstFromWire;
86
output rstSyncToBusClkOut;
87
output rstSyncToSpiClkOut;
88
input [1:0] SDWriteError;
89
input [1:0] SDReadError;
90
input [1:0] SDInitError;
91
output [31:0] SDAddr;
92
reg [31:0] SDAddr;
93
output [7:0] spiClkDelay;
94
reg [7:0] spiClkDelay;
95
 
96
wire [7:0] dataIn;
97
wire [7:0] address;
98
wire writeEn;
99
wire strobe_i;
100
wire clk;
101
reg [7:0] dataOut;
102
reg [1:0] spiTransType;
103
reg spiTransCtrl;
104
wire ctrlStsRegSel;
105
wire rstFromWire;
106
reg rstSyncToBusClkOut;
107
reg rstSyncToSpiClkOut;
108
 
109
//internal wire and regs
110
reg [5:0] rstShift;
111
reg rstFromBus;
112
reg [7:0] spiDirectAccessTxDataSTB;
113
reg [7:0] spiDirectAccessRxDataSTB;
114
reg [1:0] spiTransTypeSTB;
115
reg spiTransCtrlSTB;
116
reg spiTransStatusSTB;
117
reg rstSyncToSpiClkFirst;
118
reg [5:0] spiTransCtrlShift;
119
reg spiTransStatusReg1;
120
reg spiTransStatusReg2;
121
reg spiTransStatusReg3;
122
reg [1:0] SDWriteErrorSTB;
123
reg [1:0] SDReadErrorSTB;
124
reg [1:0] SDInitErrorSTB;
125
reg spiTransCtrl_reg1;
126
reg spiTransCtrl_reg2;
127
reg spiTransCtrl_reg3;
128
 
129
//sync write demux
130
always @(posedge busClk)
131
begin
132
  if (rstSyncToBusClkOut == 1'b1) begin
133
    spiTransTypeSTB <= `DIRECT_ACCESS;
134
    spiTransCtrlSTB <= `TRANS_STOP;
135
    spiDirectAccessTxDataSTB <= 8'h00;
136
    spiClkDelay <= `FAST_SPI_CLK;
137
  end
138
  else begin
139
    if (writeEn == 1'b1 && ctrlStsRegSel == 1'b1 && strobe_i == 1'b1 && address == `SPI_MASTER_CONTROL_REG && dataIn[0] == 1'b1 )
140
      rstFromBus <= 1'b1;
141
    else
142
      rstFromBus <= 1'b0;
143
    if (writeEn == 1'b1 && ctrlStsRegSel == 1'b1 && strobe_i == 1'b1 && address == `TRANS_CTRL_REG && dataIn[0] == 1'b1 )
144
      spiTransCtrlSTB <= 1'b1;
145
    else
146
      spiTransCtrlSTB <= 1'b0;
147
    if (writeEn == 1'b1 && ctrlStsRegSel == 1'b1 && strobe_i == 1'b1) begin
148
      case (address)
149
        `TRANS_TYPE_REG: spiTransTypeSTB <= dataIn[1:0];
150
        `SD_ADDR_7_0_REG: SDAddr[7:0] <= dataIn;
151
        `SD_ADDR_15_8_REG: SDAddr[15:8] <= dataIn;
152
        `SD_ADDR_23_16_REG: SDAddr[23:16] <= dataIn;
153
        `SD_ADDR_31_24_REG: SDAddr[31:24] <= dataIn;
154
        `SPI_CLK_DEL_REG: spiClkDelay <= dataIn;
155
        `DIRECT_ACCESS_DATA_REG: spiDirectAccessTxDataSTB <= dataIn;
156
      endcase
157
    end
158
  end
159
end
160
 
161
// async read mux
162
always @(address or spiTransTypeSTB or spiTransCtrlSTB or
163
         spiTransStatusSTB or spiDirectAccessRxDataSTB or
164
         SDAddr or SDInitErrorSTB or SDReadErrorSTB or SDWriteErrorSTB or
165
         spiClkDelay)
166
begin
167
  case (address)
168
    `SPI_MASTER_VERSION_REG: dataOut <= `SPI_MASTER_VERSION_NUM;
169
    `TRANS_TYPE_REG: dataOut <= { 6'b000000, spiTransTypeSTB};
170
    `TRANS_CTRL_REG: dataOut <= { 7'b0000000, spiTransCtrlSTB};
171
    `TRANS_STS_REG: dataOut <= { 7'b0000000, spiTransStatusSTB};
172
    `TRANS_ERROR_REG: dataOut <= {2'b00, SDWriteErrorSTB, SDReadErrorSTB, SDInitErrorSTB};
173
    `SD_ADDR_7_0_REG: dataOut <= SDAddr[7:0];
174
    `SD_ADDR_15_8_REG: dataOut <= SDAddr[15:8];
175
    `SD_ADDR_23_16_REG: dataOut <= SDAddr[23:16];
176
    `SD_ADDR_31_24_REG: dataOut <= SDAddr[31:24];
177
    `SPI_CLK_DEL_REG: dataOut <= spiClkDelay;
178
    `DIRECT_ACCESS_DATA_REG: dataOut <= spiDirectAccessRxDataSTB;
179
    default: dataOut <= 8'h00;
180
  endcase
181
end
182
 
183
// reset control
184
//generate 'rstSyncToBusClk'
185
//assuming that 'busClk' < 5 * 'spiSysClk'.
186
always @(posedge busClk) begin
187
  if (rstFromWire == 1'b1 || rstFromBus == 1'b1)
188
    rstShift <= 6'b111111;
189
  else
190
    rstShift <= {1'b0, rstShift[5:1]};
191
end
192
 
193
always @(rstShift)
194
  rstSyncToBusClkOut <= rstShift[0];
195
 
196
// double sync across clock domains to generate 'rstSyncToSpiClkOut'
197
always @(posedge spiSysClk) begin
198
    rstSyncToSpiClkFirst <= rstSyncToBusClkOut;
199
    rstSyncToSpiClkOut <= rstSyncToSpiClkFirst;
200
end
201
 
202
 
203
// spi transaction control
204
//assuming that 'busClk' < 5 * 'spiSysClk'.
205
always @(posedge busClk) begin
206
  if (rstSyncToBusClkOut == 1'b1)
207
    spiTransCtrlShift <= 6'b000000;
208
  else if (spiTransCtrlSTB == 1'b1)
209
    spiTransCtrlShift <= 6'b111111;
210
  else
211
    spiTransCtrlShift <= {1'b0, spiTransCtrlShift[5:1]};
212
end
213
 
214
//re-sync to spiSysClk
215
always @(posedge spiSysClk) begin
216
  if (rstSyncToSpiClkOut == 1'b1) begin
217
    spiTransCtrl_reg1 <= 1'b0;
218
    spiTransCtrl_reg2 <= 1'b0;
219
    spiTransCtrl_reg3 <= 1'b0;
220
  end
221
  else begin
222
    spiTransCtrl_reg1 <= spiTransCtrlShift[0];
223
    spiTransCtrl_reg2 <= spiTransCtrl_reg1;
224
    spiTransCtrl_reg3 <= spiTransCtrl_reg2;
225
    if (spiTransCtrl_reg3 == 1'b0 && spiTransCtrl_reg2 == 1'b1)
226
      spiTransCtrl <= `TRANS_START;
227
    else
228
      spiTransCtrl <= `TRANS_STOP;
229
  end
230
end
231
 
232
 
233
 
234
//re-sync from busClk to spiSysClk. 
235
always @(posedge spiSysClk) begin
236
  if (rstSyncToSpiClkOut == 1'b1) begin
237
    spiTransType <= `DIRECT_ACCESS;
238
    spiDirectAccessTxData <= 8'h00;
239
  end
240
  else begin
241
    spiDirectAccessTxData <= spiDirectAccessTxDataSTB;
242
    spiTransType <= spiTransTypeSTB;
243
  end
244
end
245
 
246
//re-sync from spiSysClk to busClk
247
always @(posedge busClk) begin
248
  if (rstSyncToBusClkOut == 1'b1) begin
249
    spiTransStatusSTB <= `TRANS_NOT_BUSY;
250
    spiTransStatusReg1 <= `TRANS_NOT_BUSY;
251
    spiTransStatusReg2 <= `TRANS_NOT_BUSY;
252
    spiTransStatusReg3 <= `TRANS_NOT_BUSY;
253
  end
254
  else begin
255
    spiTransStatusReg1 <= spiTransStatus;
256
    spiTransStatusReg2 <= spiTransStatusReg1;
257
    spiTransStatusReg3 <= spiTransStatusReg2;
258
    if (spiTransCtrlSTB == `TRANS_START)
259
      spiTransStatusSTB <= `TRANS_BUSY;
260
    else if (spiTransStatusReg3 == `TRANS_BUSY && spiTransStatusReg2 == `TRANS_NOT_BUSY)
261
      spiTransStatusSTB <= `TRANS_NOT_BUSY;
262
  end
263
  spiDirectAccessRxDataSTB <= spiDirectAccessRxData;
264
  SDWriteErrorSTB <= SDWriteError;
265
  SDReadErrorSTB <= SDReadError;
266
  SDInitErrorSTB <= SDInitError;
267
end
268
 
269
endmodule
270
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.