OpenCores
URL https://opencores.org/ocsvn/or1k_soc_on_altera_embedded_dev_kit/or1k_soc_on_altera_embedded_dev_kit/trunk

Subversion Repositories or1k_soc_on_altera_embedded_dev_kit

[/] [or1k_soc_on_altera_embedded_dev_kit/] [trunk/] [soc/] [rtl/] [or1200/] [rtl/] [verilog/] [or1200_freeze.v] - Blame information for rev 12

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 xianfeng
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's Freeze logic                                       ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Generates all freezes and stalls inside RISC                ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - make it smaller and faster                               ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: or1200_freeze.v,v $
47
// Revision 1.8  2004/06/08 18:17:36  lampret
48
// Non-functional changes. Coding style fixes.
49
//
50
// Revision 1.7  2004/04/05 08:29:57  lampret
51
// Merged branch_qmem into main tree.
52
//
53
// Revision 1.6.4.2  2003/12/05 00:09:49  lampret
54
// No functional change.
55
//
56
// Revision 1.6.4.1  2003/07/08 15:36:37  lampret
57
// Added embedded memory QMEM.
58
//
59
// Revision 1.6  2002/07/31 02:04:35  lampret
60
// MAC now follows software convention (signed multiply instead of unsigned).
61
//
62
// Revision 1.5  2002/07/14 22:17:17  lampret
63
// Added simple trace buffer [only for Xilinx Virtex target]. Fixed instruction fetch abort when new exception is recognized.
64
//
65
// Revision 1.4  2002/03/29 15:16:55  lampret
66
// Some of the warnings fixed.
67
//
68
// Revision 1.3  2002/01/28 01:16:00  lampret
69
// Changed 'void' nop-ops instead of insn[0] to use insn[16]. Debug unit stalls the tick timer. Prepared new flag generation for add and and insns. Blocked DC/IC while they are turned off. Fixed I/D MMU SPRs layout except WAYs. TODO: smart IC invalidate, l.j 2 and TLB ways.
70
//
71
// Revision 1.2  2002/01/14 06:18:22  lampret
72
// Fixed mem2reg bug in FAST implementation. Updated debug unit to work with new genpc/if.
73
//
74
// Revision 1.1  2002/01/03 08:16:15  lampret
75
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
76
//
77
// Revision 1.10  2001/11/13 10:02:21  lampret
78
// Added 'setpc'. Renamed some signals (except_flushpipe into flushpipe etc)
79
//
80
// Revision 1.9  2001/10/21 17:57:16  lampret
81
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
82
//
83
// Revision 1.8  2001/10/19 23:28:46  lampret
84
// Fixed some synthesis warnings. Configured with caches and MMUs.
85
//
86
// Revision 1.7  2001/10/14 13:12:09  lampret
87
// MP3 version.
88
//
89
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
90
// no message
91
//
92
// Revision 1.2  2001/08/09 13:39:33  lampret
93
// Major clean-up.
94
//
95
// Revision 1.1  2001/07/20 00:46:03  lampret
96
// Development version of RTL. Libraries are missing.
97
//
98
//
99
 
100
// synopsys translate_off
101
`include "timescale.v"
102
// synopsys translate_on
103
`include "or1200_defines.v"
104
 
105
`define OR1200_NO_FREEZE        3'd0
106
`define OR1200_FREEZE_BYDC      3'd1
107
`define OR1200_FREEZE_BYMULTICYCLE      3'd2
108
`define OR1200_WAIT_LSU_TO_FINISH       3'd3
109
`define OR1200_WAIT_IC                  3'd4
110
 
111
//
112
// Freeze logic (stalls CPU pipeline, ifetcher etc.)
113
//
114
module or1200_freeze(
115
        // Clock and reset
116
        clk, rst,
117
 
118
        // Internal i/f
119
        multicycle, flushpipe, extend_flush, lsu_stall, if_stall,
120
        lsu_unstall, du_stall, mac_stall,
121
        force_dslot_fetch, abort_ex,
122
        genpc_freeze, if_freeze, id_freeze, ex_freeze, wb_freeze,
123
        icpu_ack_i, icpu_err_i
124
);
125
 
126
//
127
// I/O
128
//
129
input                           clk;
130
input                           rst;
131
input   [`OR1200_MULTICYCLE_WIDTH-1:0]   multicycle;
132
input                           flushpipe;
133
input                           extend_flush;
134
input                           lsu_stall;
135
input                           if_stall;
136
input                           lsu_unstall;
137
input                           force_dslot_fetch;
138
input                           abort_ex;
139
input                           du_stall;
140
input                           mac_stall;
141
output                          genpc_freeze;
142
output                          if_freeze;
143
output                          id_freeze;
144
output                          ex_freeze;
145
output                          wb_freeze;
146
input                           icpu_ack_i;
147
input                           icpu_err_i;
148
 
149
//
150
// Internal wires and regs
151
//
152
wire                            multicycle_freeze;
153
reg     [`OR1200_MULTICYCLE_WIDTH-1:0]   multicycle_cnt;
154
reg                             flushpipe_r;
155
 
156
//
157
// Pipeline freeze
158
//
159
// Rules how to create freeze signals:
160
// 1. Not overwriting pipeline stages:
161
// Freze signals at the beginning of pipeline (such as if_freeze) can be asserted more
162
// often than freeze signals at the of pipeline (such as wb_freeze). In other words, wb_freeze must never
163
// be asserted when ex_freeze is not. ex_freeze must never be asserted when id_freeze is not etc.
164
//
165
// 2. Inserting NOPs in the middle of pipeline only if supported:
166
// At this time, only ex_freeze (and wb_freeze) can be deassrted when id_freeze (and if_freeze) are asserted.
167
// This way NOP is asserted from stage ID into EX stage.
168
//
169
//assign genpc_freeze = du_stall | flushpipe_r | lsu_stall;
170
assign genpc_freeze = du_stall | flushpipe_r;
171
assign if_freeze = id_freeze | extend_flush;
172
//assign id_freeze = (lsu_stall | (~lsu_unstall & if_stall) | multicycle_freeze | force_dslot_fetch) & ~flushpipe | du_stall;
173
assign id_freeze = (lsu_stall | (~lsu_unstall & if_stall) | multicycle_freeze | force_dslot_fetch) | du_stall | mac_stall;
174
assign ex_freeze = wb_freeze;
175
//assign wb_freeze = (lsu_stall | (~lsu_unstall & if_stall) | multicycle_freeze) & ~flushpipe | du_stall | mac_stall;
176
assign wb_freeze = (lsu_stall | (~lsu_unstall & if_stall) | multicycle_freeze) | du_stall | mac_stall | abort_ex;
177
 
178
//
179
// registered flushpipe
180
//
181
always @(posedge clk or posedge rst)
182
        if (rst)
183
                flushpipe_r <= #1 1'b0;
184
        else if (icpu_ack_i | icpu_err_i)
185
//      else if (!if_stall)
186
                flushpipe_r <= #1 flushpipe;
187
        else if (!flushpipe)
188
                flushpipe_r <= #1 1'b0;
189
 
190
//
191
// Multicycle freeze
192
//
193
assign multicycle_freeze = |multicycle_cnt;
194
 
195
//
196
// Multicycle counter
197
//
198
always @(posedge clk or posedge rst)
199
        if (rst)
200
                multicycle_cnt <= #1 2'b00;
201
        else if (|multicycle_cnt)
202
                multicycle_cnt <= #1 multicycle_cnt - 2'd1;
203
        else if (|multicycle & !ex_freeze)
204
                multicycle_cnt <= #1 multicycle;
205
 
206
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.