OpenCores
URL https://opencores.org/ocsvn/or1k_soc_on_altera_embedded_dev_kit/or1k_soc_on_altera_embedded_dev_kit/trunk

Subversion Repositories or1k_soc_on_altera_embedded_dev_kit

[/] [or1k_soc_on_altera_embedded_dev_kit/] [trunk/] [soc/] [rtl/] [or1200/] [rtl/] [verilog/] [or1200_ic_top.v] - Blame information for rev 12

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 xianfeng
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's Data Cache top level                               ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Instantiation of all IC blocks.                             ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - make it smaller and faster                               ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: or1200_ic_top.v,v $
47
// Revision 1.9  2004/04/05 08:29:57  lampret
48
// Merged branch_qmem into main tree.
49
//
50
// Revision 1.7.4.2  2003/12/09 11:46:48  simons
51
// Mbist nameing changed, Artisan ram instance signal names fixed, some synthesis waning fixed.
52
//
53
// Revision 1.7.4.1  2003/07/08 15:36:37  lampret
54
// Added embedded memory QMEM.
55
//
56
// Revision 1.7  2002/10/17 20:04:40  lampret
57
// Added BIST scan. Special VS RAMs need to be used to implement BIST.
58
//
59
// Revision 1.6  2002/03/29 15:16:55  lampret
60
// Some of the warnings fixed.
61
//
62
// Revision 1.5  2002/02/11 04:33:17  lampret
63
// Speed optimizations (removed duplicate _cyc_ and _stb_). Fixed D/IMMU cache-inhibit attr.
64
//
65
// Revision 1.4  2002/02/01 19:56:54  lampret
66
// Fixed combinational loops.
67
//
68
// Revision 1.3  2002/01/28 01:16:00  lampret
69
// Changed 'void' nop-ops instead of insn[0] to use insn[16]. Debug unit stalls the tick timer. Prepared new flag generation for add and and insns. Blocked DC/IC while they are turned off. Fixed I/D MMU SPRs layout except WAYs. TODO: smart IC invalidate, l.j 2 and TLB ways.
70
//
71
// Revision 1.2  2002/01/14 06:18:22  lampret
72
// Fixed mem2reg bug in FAST implementation. Updated debug unit to work with new genpc/if.
73
//
74
// Revision 1.1  2002/01/03 08:16:15  lampret
75
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
76
//
77
// Revision 1.10  2001/10/21 17:57:16  lampret
78
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from ic.v and ic.v. Fixed CR+LF.
79
//
80
// Revision 1.9  2001/10/14 13:12:09  lampret
81
// MP3 version.
82
//
83
// Revision 1.1.1.1  2001/10/06 10:18:35  igorm
84
// no message
85
//
86
// Revision 1.4  2001/08/13 03:36:20  lampret
87
// Added cfg regs. Moved all defines into one defines.v file. More cleanup.
88
//
89
// Revision 1.3  2001/08/09 13:39:33  lampret
90
// Major clean-up.
91
//
92
// Revision 1.2  2001/07/22 03:31:53  lampret
93
// Fixed RAM's oen bug. Cache bypass under development.
94
//
95
// Revision 1.1  2001/07/20 00:46:03  lampret
96
// Development version of RTL. Libraries are missing.
97
//
98
//
99
 
100
// synopsys translate_off
101
`include "timescale.v"
102
// synopsys translate_on
103
`include "or1200_defines.v"
104
 
105
//
106
// Data cache
107
//
108
module or1200_ic_top(
109
        // Rst, clk and clock control
110
        clk, rst,
111
 
112
        // External i/f
113
        icbiu_dat_o, icbiu_adr_o, icbiu_cyc_o, icbiu_stb_o, icbiu_we_o, icbiu_sel_o, icbiu_cab_o,
114
        icbiu_dat_i, icbiu_ack_i, icbiu_err_i,
115
 
116
        // Internal i/f
117
        ic_en,
118
        icqmem_adr_i, icqmem_cycstb_i, icqmem_ci_i,
119
        icqmem_sel_i, icqmem_tag_i,
120
        icqmem_dat_o, icqmem_ack_o, icqmem_rty_o, icqmem_err_o, icqmem_tag_o,
121
 
122
`ifdef OR1200_BIST
123
        // RAM BIST
124
        mbist_si_i, mbist_so_o, mbist_ctrl_i,
125
`endif
126
 
127
        // SPRs
128
        spr_cs, spr_write, spr_dat_i
129
);
130
 
131
parameter dw = `OR1200_OPERAND_WIDTH;
132
 
133
//
134
// I/O
135
//
136
 
137
//
138
// Clock and reset
139
//
140
input                           clk;
141
input                           rst;
142
 
143
//
144
// External I/F
145
//
146
output  [dw-1:0]         icbiu_dat_o;
147
output  [31:0]                   icbiu_adr_o;
148
output                          icbiu_cyc_o;
149
output                          icbiu_stb_o;
150
output                          icbiu_we_o;
151
output  [3:0]                    icbiu_sel_o;
152
output                          icbiu_cab_o;
153
input   [dw-1:0]         icbiu_dat_i;
154
input                           icbiu_ack_i;
155
input                           icbiu_err_i;
156
 
157
//
158
// Internal I/F
159
//
160
input                           ic_en;
161
input   [31:0]                   icqmem_adr_i;
162
input                           icqmem_cycstb_i;
163
input                           icqmem_ci_i;
164
input   [3:0]                    icqmem_sel_i;
165
input   [3:0]                    icqmem_tag_i;
166
output  [dw-1:0]         icqmem_dat_o;
167
output                          icqmem_ack_o;
168
output                          icqmem_rty_o;
169
output                          icqmem_err_o;
170
output  [3:0]                    icqmem_tag_o;
171
 
172
`ifdef OR1200_BIST
173
//
174
// RAM BIST
175
//
176
input mbist_si_i;
177
input [`OR1200_MBIST_CTRL_WIDTH - 1:0] mbist_ctrl_i;
178
output mbist_so_o;
179
`endif
180
 
181
//
182
// SPR access
183
//
184
input                           spr_cs;
185
input                           spr_write;
186
input   [31:0]                   spr_dat_i;
187
 
188
//
189
// Internal wires and regs
190
//
191
wire                            tag_v;
192
wire    [`OR1200_ICTAG_W-2:0]    tag;
193
wire    [dw-1:0]         to_icram;
194
wire    [dw-1:0]         from_icram;
195
wire    [31:0]                   saved_addr;
196
wire    [3:0]                    icram_we;
197
wire                            ictag_we;
198
wire    [31:0]                   ic_addr;
199
wire                            icfsm_biu_read;
200
reg                             tagcomp_miss;
201
wire    [`OR1200_ICINDXH:`OR1200_ICLS]  ictag_addr;
202
wire                            ictag_en;
203
wire                            ictag_v;
204
wire                            ic_inv;
205
wire                            icfsm_first_hit_ack;
206
wire                            icfsm_first_miss_ack;
207
wire                            icfsm_first_miss_err;
208
wire                            icfsm_burst;
209
wire                            icfsm_tag_we;
210
`ifdef OR1200_BIST
211
//
212
// RAM BIST
213
//
214
wire                            mbist_ram_so;
215
wire                            mbist_tag_so;
216
wire                            mbist_ram_si = mbist_si_i;
217
wire                            mbist_tag_si = mbist_ram_so;
218
assign                          mbist_so_o = mbist_tag_so;
219
`endif
220
 
221
//
222
// Simple assignments
223
//
224
assign icbiu_adr_o = ic_addr;
225
assign ic_inv = spr_cs & spr_write;
226
assign ictag_we = icfsm_tag_we | ic_inv;
227
assign ictag_addr = ic_inv ? spr_dat_i[`OR1200_ICINDXH:`OR1200_ICLS] : ic_addr[`OR1200_ICINDXH:`OR1200_ICLS];
228
assign ictag_en = ic_inv | ic_en;
229
assign ictag_v = ~ic_inv;
230
 
231
//
232
// Data to BIU is from ICRAM when IC is enabled or from LSU when
233
// IC is disabled
234
//
235
assign icbiu_dat_o = 32'h00000000;
236
 
237
//
238
// Bypases of the IC when IC is disabled
239
//
240
assign icbiu_cyc_o = (ic_en) ? icfsm_biu_read : icqmem_cycstb_i;
241
assign icbiu_stb_o = (ic_en) ? icfsm_biu_read : icqmem_cycstb_i;
242
assign icbiu_we_o = 1'b0;
243
assign icbiu_sel_o = (ic_en & icfsm_biu_read) ? 4'b1111 : icqmem_sel_i;
244
assign icbiu_cab_o = (ic_en) ? icfsm_burst : 1'b0;
245
assign icqmem_rty_o = ~icqmem_ack_o & ~icqmem_err_o;
246
assign icqmem_tag_o = icqmem_err_o ? `OR1200_ITAG_BE : icqmem_tag_i;
247
 
248
//
249
// CPU normal and error termination
250
//
251
assign icqmem_ack_o = ic_en ? (icfsm_first_hit_ack | icfsm_first_miss_ack) : icbiu_ack_i;
252
assign icqmem_err_o = ic_en ? icfsm_first_miss_err : icbiu_err_i;
253
 
254
//
255
// Select between claddr generated by IC FSM and addr[3:2] generated by LSU
256
//
257
assign ic_addr = (icfsm_biu_read) ? saved_addr : icqmem_adr_i;
258
 
259
//
260
// Select between input data generated by LSU or by BIU
261
//
262
assign to_icram = icbiu_dat_i;
263
 
264
//
265
// Select between data generated by ICRAM or passed by BIU
266
//
267
assign icqmem_dat_o = icfsm_first_miss_ack | !ic_en ? icbiu_dat_i : from_icram;
268
 
269
//
270
// Tag comparison
271
//
272
always @(tag or saved_addr or tag_v) begin
273
        if ((tag != saved_addr[31:`OR1200_ICTAGL]) || !tag_v)
274
                tagcomp_miss = 1'b1;
275
        else
276
                tagcomp_miss = 1'b0;
277
end
278
 
279
//
280
// Instantiation of IC Finite State Machine
281
//
282
or1200_ic_fsm or1200_ic_fsm(
283
        .clk(clk),
284
        .rst(rst),
285
        .ic_en(ic_en),
286
        .icqmem_cycstb_i(icqmem_cycstb_i),
287
        .icqmem_ci_i(icqmem_ci_i),
288
        .tagcomp_miss(tagcomp_miss),
289
        .biudata_valid(icbiu_ack_i),
290
        .biudata_error(icbiu_err_i),
291
        .start_addr(icqmem_adr_i),
292
        .saved_addr(saved_addr),
293
        .icram_we(icram_we),
294
        .biu_read(icfsm_biu_read),
295
        .first_hit_ack(icfsm_first_hit_ack),
296
        .first_miss_ack(icfsm_first_miss_ack),
297
        .first_miss_err(icfsm_first_miss_err),
298
        .burst(icfsm_burst),
299
        .tag_we(icfsm_tag_we)
300
);
301
 
302
//
303
// Instantiation of IC main memory
304
//
305
or1200_ic_ram or1200_ic_ram(
306
        .clk(clk),
307
        .rst(rst),
308
`ifdef OR1200_BIST
309
        // RAM BIST
310
        .mbist_si_i(mbist_ram_si),
311
        .mbist_so_o(mbist_ram_so),
312
        .mbist_ctrl_i(mbist_ctrl_i),
313
`endif
314
        .addr(ic_addr[`OR1200_ICINDXH:2]),
315
        .en(ic_en),
316
        .we(icram_we),
317
        .datain(to_icram),
318
        .dataout(from_icram)
319
);
320
 
321
//
322
// Instantiation of IC TAG memory
323
//
324
or1200_ic_tag or1200_ic_tag(
325
        .clk(clk),
326
        .rst(rst),
327
`ifdef OR1200_BIST
328
        // RAM BIST
329
        .mbist_si_i(mbist_tag_si),
330
        .mbist_so_o(mbist_tag_so),
331
        .mbist_ctrl_i(mbist_ctrl_i),
332
`endif
333
        .addr(ictag_addr),
334
        .en(ictag_en),
335
        .we(ictag_we),
336
        .datain({ic_addr[31:`OR1200_ICTAGL], ictag_v}),
337
        .tag_v(tag_v),
338
        .tag(tag)
339
);
340
 
341
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.