OpenCores
URL https://opencores.org/ocsvn/or1k_soc_on_altera_embedded_dev_kit/or1k_soc_on_altera_embedded_dev_kit/trunk

Subversion Repositories or1k_soc_on_altera_embedded_dev_kit

[/] [or1k_soc_on_altera_embedded_dev_kit/] [trunk/] [soc/] [rtl/] [or1200/] [rtl/] [verilog/] [or1200_rf.v] - Blame information for rev 12

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 xianfeng
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's register file inside CPU                           ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Instantiation of register file memories                     ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - make it smaller and faster                               ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: or1200_rf.v,v $
47
// Revision 1.3  2003/04/07 01:21:56  lampret
48
// RFRAM type always need to be defined.
49
//
50
// Revision 1.2  2002/06/08 16:19:09  lampret
51
// Added generic flip-flop based memory macro instantiation.
52
//
53
// Revision 1.1  2002/01/03 08:16:15  lampret
54
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
55
//
56
// Revision 1.13  2001/11/20 18:46:15  simons
57
// Break point bug fixed
58
//
59
// Revision 1.12  2001/11/13 10:02:21  lampret
60
// Added 'setpc'. Renamed some signals (except_flushpipe into flushpipe etc)
61
//
62
// Revision 1.11  2001/11/12 01:45:40  lampret
63
// Moved flag bit into SR. Changed RF enable from constant enable to dynamic enable for read ports.
64
//
65
// Revision 1.10  2001/11/10 03:43:57  lampret
66
// Fixed exceptions.
67
//
68
// Revision 1.9  2001/10/21 17:57:16  lampret
69
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
70
//
71
// Revision 1.8  2001/10/14 13:12:10  lampret
72
// MP3 version.
73
//
74
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
75
// no message
76
//
77
// Revision 1.3  2001/08/09 13:39:33  lampret
78
// Major clean-up.
79
//
80
// Revision 1.2  2001/07/22 03:31:54  lampret
81
// Fixed RAM's oen bug. Cache bypass under development.
82
//
83
// Revision 1.1  2001/07/20 00:46:21  lampret
84
// Development version of RTL. Libraries are missing.
85
//
86
//
87
 
88
// synopsys translate_off
89
`include "timescale.v"
90
// synopsys translate_on
91
`include "or1200_defines.v"
92
 
93
module or1200_rf(
94
        // Clock and reset
95
        clk, rst,
96
 
97
        // Write i/f
98
        supv, wb_freeze, addrw, dataw, we, flushpipe,
99
 
100
        // Read i/f
101
        id_freeze, addra, addrb, dataa, datab, rda, rdb,
102
 
103
        // Debug
104
        spr_cs, spr_write, spr_addr, spr_dat_i, spr_dat_o
105
);
106
 
107
parameter dw = `OR1200_OPERAND_WIDTH;
108
parameter aw = `OR1200_REGFILE_ADDR_WIDTH;
109
 
110
//
111
// I/O
112
//
113
 
114
//
115
// Clock and reset
116
//
117
input                           clk;
118
input                           rst;
119
 
120
//
121
// Write i/f
122
//
123
input                           supv;
124
input                           wb_freeze;
125
input   [aw-1:0]         addrw;
126
input   [dw-1:0]         dataw;
127
input                           we;
128
input                           flushpipe;
129
 
130
//
131
// Read i/f
132
//
133
input                           id_freeze;
134
input   [aw-1:0]         addra;
135
input   [aw-1:0]         addrb;
136
output  [dw-1:0]         dataa;
137
output  [dw-1:0]         datab;
138
input                           rda;
139
input                           rdb;
140
 
141
//
142
// SPR access for debugging purposes
143
//
144
input                           spr_cs;
145
input                           spr_write;
146
input   [31:0]                   spr_addr;
147
input   [31:0]                   spr_dat_i;
148
output  [31:0]                   spr_dat_o;
149
 
150
//
151
// Internal wires and regs
152
//
153
wire    [dw-1:0]         from_rfa;
154
wire    [dw-1:0]         from_rfb;
155
reg     [dw:0]                   dataa_saved;
156
reg     [dw:0]                   datab_saved;
157
wire    [aw-1:0]         rf_addra;
158
wire    [aw-1:0]         rf_addrw;
159
wire    [dw-1:0]         rf_dataw;
160
wire                            rf_we;
161
wire                            spr_valid;
162
wire                            rf_ena;
163
wire                            rf_enb;
164
reg                             rf_we_allow;
165
 
166
//
167
// SPR access is valid when spr_cs is asserted and
168
// SPR address matches GPR addresses
169
//
170
assign spr_valid = spr_cs & (spr_addr[10:5] == `OR1200_SPR_RF);
171
 
172
//
173
// SPR data output is always from RF A
174
//
175
assign spr_dat_o = from_rfa;
176
 
177
//
178
// Operand A comes from RF or from saved A register
179
//
180
assign dataa = (dataa_saved[32]) ? dataa_saved[31:0] : from_rfa;
181
 
182
//
183
// Operand B comes from RF or from saved B register
184
//
185
assign datab = (datab_saved[32]) ? datab_saved[31:0] : from_rfb;
186
 
187
//
188
// RF A read address is either from SPRS or normal from CPU control
189
//
190
assign rf_addra = (spr_valid & !spr_write) ? spr_addr[4:0] : addra;
191
 
192
//
193
// RF write address is either from SPRS or normal from CPU control
194
//
195
assign rf_addrw = (spr_valid & spr_write) ? spr_addr[4:0] : addrw;
196
 
197
//
198
// RF write data is either from SPRS or normal from CPU datapath
199
//
200
assign rf_dataw = (spr_valid & spr_write) ? spr_dat_i : dataw;
201
 
202
//
203
// RF write enable is either from SPRS or normal from CPU control
204
//
205
always @(posedge rst or posedge clk)
206
        if (rst)
207
                rf_we_allow <= #1 1'b1;
208
        else if (~wb_freeze)
209
                rf_we_allow <= #1 ~flushpipe;
210
 
211
assign rf_we = ((spr_valid & spr_write) | (we & ~wb_freeze)) & rf_we_allow & (supv | (|rf_addrw));
212
 
213
//
214
// CS RF A asserted when instruction reads operand A and ID stage
215
// is not stalled
216
//
217
assign rf_ena = rda & ~id_freeze | spr_valid;   // probably works with fixed binutils
218
// assign rf_ena = 1'b1;                        // does not work with single-stepping
219
//assign rf_ena = ~id_freeze | spr_valid;       // works with broken binutils 
220
 
221
//
222
// CS RF B asserted when instruction reads operand B and ID stage
223
// is not stalled
224
//
225
assign rf_enb = rdb & ~id_freeze | spr_valid;
226
// assign rf_enb = 1'b1;
227
//assign rf_enb = ~id_freeze | spr_valid;       // works with broken binutils 
228
 
229
//
230
// Stores operand from RF_A into temp reg when pipeline is frozen
231
//
232
always @(posedge clk or posedge rst)
233
        if (rst) begin
234
                dataa_saved <= #1 33'b0;
235
        end
236
        else if (id_freeze & !dataa_saved[32]) begin
237
                dataa_saved <= #1 {1'b1, from_rfa};
238
        end
239
        else if (!id_freeze)
240
                dataa_saved <= #1 33'b0;
241
 
242
//
243
// Stores operand from RF_B into temp reg when pipeline is frozen
244
//
245
always @(posedge clk or posedge rst)
246
        if (rst) begin
247
                datab_saved <= #1 33'b0;
248
        end
249
        else if (id_freeze & !datab_saved[32]) begin
250
                datab_saved <= #1 {1'b1, from_rfb};
251
        end
252
        else if (!id_freeze)
253
                datab_saved <= #1 33'b0;
254
 
255
`ifdef OR1200_RFRAM_TWOPORT
256
 
257
//
258
// Instantiation of register file two-port RAM A
259
//
260
or1200_tpram_32x32 rf_a(
261
        // Port A
262
        .clk_a(clk),
263
        .rst_a(rst),
264
        .ce_a(rf_ena),
265
        .we_a(1'b0),
266
        .oe_a(1'b1),
267
        .addr_a(rf_addra),
268
        .di_a(32'h0000_0000),
269
        .do_a(from_rfa),
270
 
271
        // Port B
272
        .clk_b(clk),
273
        .rst_b(rst),
274
        .ce_b(rf_we),
275
        .we_b(rf_we),
276
        .oe_b(1'b0),
277
        .addr_b(rf_addrw),
278
        .di_b(rf_dataw),
279
        .do_b()
280
);
281
 
282
//
283
// Instantiation of register file two-port RAM B
284
//
285
or1200_tpram_32x32 rf_b(
286
        // Port A
287
        .clk_a(clk),
288
        .rst_a(rst),
289
        .ce_a(rf_enb),
290
        .we_a(1'b0),
291
        .oe_a(1'b1),
292
        .addr_a(addrb),
293
        .di_a(32'h0000_0000),
294
        .do_a(from_rfb),
295
 
296
        // Port B
297
        .clk_b(clk),
298
        .rst_b(rst),
299
        .ce_b(rf_we),
300
        .we_b(rf_we),
301
        .oe_b(1'b0),
302
        .addr_b(rf_addrw),
303
        .di_b(rf_dataw),
304
        .do_b()
305
);
306
 
307
`else
308
 
309
`ifdef OR1200_RFRAM_DUALPORT
310
 
311
//
312
// Instantiation of register file two-port RAM A
313
//
314
or1200_dpram_32x32 rf_a(
315
        // Port A
316
        .clk_a(clk),
317
        .rst_a(rst),
318
        .ce_a(rf_ena),
319
        .oe_a(1'b1),
320
        .addr_a(rf_addra),
321
        .do_a(from_rfa),
322
 
323
        // Port B
324
        .clk_b(clk),
325
        .rst_b(rst),
326
        .ce_b(rf_we),
327
        .we_b(rf_we),
328
        .addr_b(rf_addrw),
329
        .di_b(rf_dataw)
330
);
331
 
332
//
333
// Instantiation of register file two-port RAM B
334
//
335
or1200_dpram_32x32 rf_b(
336
        // Port A
337
        .clk_a(clk),
338
        .rst_a(rst),
339
        .ce_a(rf_enb),
340
        .oe_a(1'b1),
341
        .addr_a(addrb),
342
        .do_a(from_rfb),
343
 
344
        // Port B
345
        .clk_b(clk),
346
        .rst_b(rst),
347
        .ce_b(rf_we),
348
        .we_b(rf_we),
349
        .addr_b(rf_addrw),
350
        .di_b(rf_dataw)
351
);
352
 
353
`else
354
 
355
`ifdef OR1200_RFRAM_GENERIC
356
 
357
//
358
// Instantiation of generic (flip-flop based) register file
359
//
360
or1200_rfram_generic rf_a(
361
        // Clock and reset
362
        .clk(clk),
363
        .rst(rst),
364
 
365
        // Port A
366
        .ce_a(rf_ena),
367
        .addr_a(rf_addra),
368
        .do_a(from_rfa),
369
 
370
        // Port B
371
        .ce_b(rf_enb),
372
        .addr_b(addrb),
373
        .do_b(from_rfb),
374
 
375
        // Port W
376
        .ce_w(rf_we),
377
        .we_w(rf_we),
378
        .addr_w(rf_addrw),
379
        .di_w(rf_dataw)
380
);
381
 
382
`else
383
 
384
//
385
// RFRAM type not specified
386
//
387
initial begin
388
        $display("Define RFRAM type.");
389
        $finish;
390
end
391
 
392
`endif
393
`endif
394
`endif
395
 
396
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.