OpenCores
URL https://opencores.org/ocsvn/or1k_soc_on_altera_embedded_dev_kit/or1k_soc_on_altera_embedded_dev_kit/trunk

Subversion Repositories or1k_soc_on_altera_embedded_dev_kit

[/] [or1k_soc_on_altera_embedded_dev_kit/] [trunk/] [soc/] [rtl/] [or1200/] [rtl/] [verilog/] [or1200_rfram_generic.v] - Blame information for rev 12

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 xianfeng
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's register file generic memory                       ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Generic (flip-flop based) register file memory              ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - nothing                                                  ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
// $Log: or1200_rfram_generic.v,v $
46
// Revision 1.3  2004/06/08 18:16:32  lampret
47
// GPR0 hardwired to zero.
48
//
49
// Revision 1.2  2002/09/03 22:28:21  lampret
50
// As per Taylor Su suggestion all case blocks are full case by default and optionally (OR1200_CASE_DEFAULT) can be disabled to increase clock frequncy.
51
//
52
// Revision 1.1  2002/06/08 16:23:30  lampret
53
// Generic flip-flop based memory macro for register file.
54
//
55
//
56
 
57
// synopsys translate_off
58
`include "timescale.v"
59
// synopsys translate_on
60
`include "or1200_defines.v"
61
 
62
module or1200_rfram_generic(
63
        // Clock and reset
64
        clk, rst,
65
 
66
        // Port A
67
        ce_a, addr_a, do_a,
68
 
69
        // Port B
70
        ce_b, addr_b, do_b,
71
 
72
        // Port W
73
        ce_w, we_w, addr_w, di_w
74
);
75
 
76
parameter dw = `OR1200_OPERAND_WIDTH;
77
parameter aw = `OR1200_REGFILE_ADDR_WIDTH;
78
 
79
//
80
// I/O
81
//
82
 
83
//
84
// Clock and reset
85
//
86
input                           clk;
87
input                           rst;
88
 
89
//
90
// Port A
91
//
92
input                           ce_a;
93
input   [aw-1:0]         addr_a;
94
output  [dw-1:0]         do_a;
95
 
96
//
97
// Port B
98
//
99
input                           ce_b;
100
input   [aw-1:0]         addr_b;
101
output  [dw-1:0]         do_b;
102
 
103
//
104
// Port W
105
//
106
input                           ce_w;
107
input                           we_w;
108
input   [aw-1:0]         addr_w;
109
input   [dw-1:0]         di_w;
110
 
111
//
112
// Internal wires and regs
113
//
114
reg     [aw-1:0]         intaddr_a;
115
reg     [aw-1:0]         intaddr_b;
116
reg     [32*dw-1:0]              mem;
117
reg     [dw-1:0]         do_a;
118
reg     [dw-1:0]         do_b;
119
 
120
//
121
// Write port
122
//
123
always @(posedge clk or posedge rst)
124
        if (rst) begin
125
                mem <= #1 {512'h0, 512'h0};
126
        end
127
        else if (ce_w & we_w)
128
                case (addr_w)   // synopsys parallel_case
129
                        5'd00: mem[32*0+31:32*0] <= #1 32'h0000_0000;
130
                        5'd01: mem[32*1+31:32*1] <= #1 di_w;
131
                        5'd02: mem[32*2+31:32*2] <= #1 di_w;
132
                        5'd03: mem[32*3+31:32*3] <= #1 di_w;
133
                        5'd04: mem[32*4+31:32*4] <= #1 di_w;
134
                        5'd05: mem[32*5+31:32*5] <= #1 di_w;
135
                        5'd06: mem[32*6+31:32*6] <= #1 di_w;
136
                        5'd07: mem[32*7+31:32*7] <= #1 di_w;
137
                        5'd08: mem[32*8+31:32*8] <= #1 di_w;
138
                        5'd09: mem[32*9+31:32*9] <= #1 di_w;
139
                        5'd10: mem[32*10+31:32*10] <= #1 di_w;
140
                        5'd11: mem[32*11+31:32*11] <= #1 di_w;
141
                        5'd12: mem[32*12+31:32*12] <= #1 di_w;
142
                        5'd13: mem[32*13+31:32*13] <= #1 di_w;
143
                        5'd14: mem[32*14+31:32*14] <= #1 di_w;
144
                        5'd15: mem[32*15+31:32*15] <= #1 di_w;
145
                        5'd16: mem[32*16+31:32*16] <= #1 di_w;
146
                        5'd17: mem[32*17+31:32*17] <= #1 di_w;
147
                        5'd18: mem[32*18+31:32*18] <= #1 di_w;
148
                        5'd19: mem[32*19+31:32*19] <= #1 di_w;
149
                        5'd20: mem[32*20+31:32*20] <= #1 di_w;
150
                        5'd21: mem[32*21+31:32*21] <= #1 di_w;
151
                        5'd22: mem[32*22+31:32*22] <= #1 di_w;
152
                        5'd23: mem[32*23+31:32*23] <= #1 di_w;
153
                        5'd24: mem[32*24+31:32*24] <= #1 di_w;
154
                        5'd25: mem[32*25+31:32*25] <= #1 di_w;
155
                        5'd26: mem[32*26+31:32*26] <= #1 di_w;
156
                        5'd27: mem[32*27+31:32*27] <= #1 di_w;
157
                        5'd28: mem[32*28+31:32*28] <= #1 di_w;
158
                        5'd29: mem[32*29+31:32*29] <= #1 di_w;
159
                        5'd30: mem[32*30+31:32*30] <= #1 di_w;
160
                        default: mem[32*31+31:32*31] <= #1 di_w;
161
                endcase
162
 
163
//
164
// Read port A
165
//
166
always @(posedge clk or posedge rst)
167
        if (rst) begin
168
                intaddr_a <= #1 5'h00;
169
        end
170
        else if (ce_a)
171
                intaddr_a <= #1 addr_a;
172
 
173
always @(mem or intaddr_a)
174
        case (intaddr_a)        // synopsys parallel_case
175
                5'd00: do_a = 32'h0000_0000;
176
                5'd01: do_a = mem[32*1+31:32*1];
177
                5'd02: do_a = mem[32*2+31:32*2];
178
                5'd03: do_a = mem[32*3+31:32*3];
179
                5'd04: do_a = mem[32*4+31:32*4];
180
                5'd05: do_a = mem[32*5+31:32*5];
181
                5'd06: do_a = mem[32*6+31:32*6];
182
                5'd07: do_a = mem[32*7+31:32*7];
183
                5'd08: do_a = mem[32*8+31:32*8];
184
                5'd09: do_a = mem[32*9+31:32*9];
185
                5'd10: do_a = mem[32*10+31:32*10];
186
                5'd11: do_a = mem[32*11+31:32*11];
187
                5'd12: do_a = mem[32*12+31:32*12];
188
                5'd13: do_a = mem[32*13+31:32*13];
189
                5'd14: do_a = mem[32*14+31:32*14];
190
                5'd15: do_a = mem[32*15+31:32*15];
191
                5'd16: do_a = mem[32*16+31:32*16];
192
                5'd17: do_a = mem[32*17+31:32*17];
193
                5'd18: do_a = mem[32*18+31:32*18];
194
                5'd19: do_a = mem[32*19+31:32*19];
195
                5'd20: do_a = mem[32*20+31:32*20];
196
                5'd21: do_a = mem[32*21+31:32*21];
197
                5'd22: do_a = mem[32*22+31:32*22];
198
                5'd23: do_a = mem[32*23+31:32*23];
199
                5'd24: do_a = mem[32*24+31:32*24];
200
                5'd25: do_a = mem[32*25+31:32*25];
201
                5'd26: do_a = mem[32*26+31:32*26];
202
                5'd27: do_a = mem[32*27+31:32*27];
203
                5'd28: do_a = mem[32*28+31:32*28];
204
                5'd29: do_a = mem[32*29+31:32*29];
205
                5'd30: do_a = mem[32*30+31:32*30];
206
                default: do_a = mem[32*31+31:32*31];
207
        endcase
208
 
209
//
210
// Read port B
211
//
212
always @(posedge clk or posedge rst)
213
        if (rst) begin
214
                intaddr_b <= #1 5'h00;
215
        end
216
        else if (ce_b)
217
                intaddr_b <= #1 addr_b;
218
 
219
always @(mem or intaddr_b)
220
        case (intaddr_b)        // synopsys parallel_case
221
                5'd00: do_b = 32'h0000_0000;
222
                5'd01: do_b = mem[32*1+31:32*1];
223
                5'd02: do_b = mem[32*2+31:32*2];
224
                5'd03: do_b = mem[32*3+31:32*3];
225
                5'd04: do_b = mem[32*4+31:32*4];
226
                5'd05: do_b = mem[32*5+31:32*5];
227
                5'd06: do_b = mem[32*6+31:32*6];
228
                5'd07: do_b = mem[32*7+31:32*7];
229
                5'd08: do_b = mem[32*8+31:32*8];
230
                5'd09: do_b = mem[32*9+31:32*9];
231
                5'd10: do_b = mem[32*10+31:32*10];
232
                5'd11: do_b = mem[32*11+31:32*11];
233
                5'd12: do_b = mem[32*12+31:32*12];
234
                5'd13: do_b = mem[32*13+31:32*13];
235
                5'd14: do_b = mem[32*14+31:32*14];
236
                5'd15: do_b = mem[32*15+31:32*15];
237
                5'd16: do_b = mem[32*16+31:32*16];
238
                5'd17: do_b = mem[32*17+31:32*17];
239
                5'd18: do_b = mem[32*18+31:32*18];
240
                5'd19: do_b = mem[32*19+31:32*19];
241
                5'd20: do_b = mem[32*20+31:32*20];
242
                5'd21: do_b = mem[32*21+31:32*21];
243
                5'd22: do_b = mem[32*22+31:32*22];
244
                5'd23: do_b = mem[32*23+31:32*23];
245
                5'd24: do_b = mem[32*24+31:32*24];
246
                5'd25: do_b = mem[32*25+31:32*25];
247
                5'd26: do_b = mem[32*26+31:32*26];
248
                5'd27: do_b = mem[32*27+31:32*27];
249
                5'd28: do_b = mem[32*28+31:32*28];
250
                5'd29: do_b = mem[32*29+31:32*29];
251
                5'd30: do_b = mem[32*30+31:32*30];
252
                default: do_b = mem[32*31+31:32*31];
253
        endcase
254
 
255
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.