OpenCores
URL https://opencores.org/ocsvn/or1k_soc_on_altera_embedded_dev_kit/or1k_soc_on_altera_embedded_dev_kit/trunk

Subversion Repositories or1k_soc_on_altera_embedded_dev_kit

[/] [or1k_soc_on_altera_embedded_dev_kit/] [trunk/] [soc/] [rtl/] [or1200/] [rtl/] [verilog/] [or1200_spram_1024x32.v] - Blame information for rev 12

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 xianfeng
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  Generic Single-Port Synchronous RAM                         ////
4
////                                                              ////
5
////  This file is part of memory library available from          ////
6
////  http://www.opencores.org/cvsweb.shtml/generic_memories/     ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  This block is a wrapper with common single-port             ////
10
////  synchronous memory interface for different                  ////
11
////  types of ASIC and FPGA RAMs. Beside universal memory        ////
12
////  interface it also provides behavioral model of generic      ////
13
////  single-port synchronous RAM.                                ////
14
////  It should be used in all OPENCORES designs that want to be  ////
15
////  portable accross different target technologies and          ////
16
////  independent of target memory.                               ////
17
////                                                              ////
18
////  Supported ASIC RAMs are:                                    ////
19
////  - Artisan Single-Port Sync RAM                              ////
20
////  - Avant! Two-Port Sync RAM (*)                              ////
21
////  - Virage Single-Port Sync RAM                               ////
22
////  - Virtual Silicon Single-Port Sync RAM                      ////
23
////                                                              ////
24
////  Supported FPGA RAMs are:                                    ////
25
////  - Xilinx Virtex RAMB16                                      ////
26
////  - Xilinx Virtex RAMB4                                       ////
27
////  - Altera LPM                                                ////
28
////                                                              ////
29
////  To Do:                                                      ////
30
////   - xilinx rams need external tri-state logic                ////
31
////   - fix avant! two-port ram                                  ////
32
////   - add additional RAMs                                      ////
33
////                                                              ////
34
////  Author(s):                                                  ////
35
////      - Damjan Lampret, lampret@opencores.org                 ////
36
////                                                              ////
37
//////////////////////////////////////////////////////////////////////
38
////                                                              ////
39
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
40
////                                                              ////
41
//// This source file may be used and distributed without         ////
42
//// restriction provided that this copyright statement is not    ////
43
//// removed from the file and that any derivative work contains  ////
44
//// the original copyright notice and the associated disclaimer. ////
45
////                                                              ////
46
//// This source file is free software; you can redistribute it   ////
47
//// and/or modify it under the terms of the GNU Lesser General   ////
48
//// Public License as published by the Free Software Foundation; ////
49
//// either version 2.1 of the License, or (at your option) any   ////
50
//// later version.                                               ////
51
////                                                              ////
52
//// This source is distributed in the hope that it will be       ////
53
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
54
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
55
//// PURPOSE.  See the GNU Lesser General Public License for more ////
56
//// details.                                                     ////
57
////                                                              ////
58
//// You should have received a copy of the GNU Lesser General    ////
59
//// Public License along with this source; if not, download it   ////
60
//// from http://www.opencores.org/lgpl.shtml                     ////
61
////                                                              ////
62
//////////////////////////////////////////////////////////////////////
63
//
64
// CVS Revision History
65
//
66
// $Log: or1200_spram_1024x32.v,v $
67
// Revision 1.9  2005/10/19 11:37:56  jcastillo
68
// Added support for RAMB16 Xilinx4/Spartan3 primitives
69
//
70
// Revision 1.8  2004/06/08 18:15:32  lampret
71
// Changed behavior of the simulation generic models
72
//
73
// Revision 1.7  2004/04/05 08:29:57  lampret
74
// Merged branch_qmem into main tree.
75
//
76
// Revision 1.3.4.2  2003/12/09 11:46:48  simons
77
// Mbist nameing changed, Artisan ram instance signal names fixed, some synthesis waning fixed.
78
//
79
// Revision 1.3.4.1  2003/07/08 15:36:37  lampret
80
// Added embedded memory QMEM.
81
//
82
// Revision 1.3  2003/04/07 01:19:07  lampret
83
// Added Altera LPM RAMs. Changed generic RAM output when OE inactive.
84
//
85
// Revision 1.2  2002/10/17 20:04:40  lampret
86
// Added BIST scan. Special VS RAMs need to be used to implement BIST.
87
//
88
// Revision 1.1  2002/01/03 08:16:15  lampret
89
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
90
//
91
// Revision 1.8  2001/11/02 18:57:14  lampret
92
// Modified virtual silicon instantiations.
93
//
94
// Revision 1.7  2001/10/21 17:57:16  lampret
95
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
96
//
97
// Revision 1.6  2001/10/14 13:12:09  lampret
98
// MP3 version.
99
//
100
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
101
// no message
102
//
103
// Revision 1.1  2001/08/09 13:39:33  lampret
104
// Major clean-up.
105
//
106
// Revision 1.2  2001/07/30 05:38:02  lampret
107
// Adding empty directories required by HDL coding guidelines
108
//
109
//
110
 
111
// synopsys translate_off
112
`include "timescale.v"
113
// synopsys translate_on
114
`include "or1200_defines.v"
115
 
116
module or1200_spram_1024x32(
117
`ifdef OR1200_BIST
118
        // RAM BIST
119
        mbist_si_i, mbist_so_o, mbist_ctrl_i,
120
`endif
121
        // Generic synchronous single-port RAM interface
122
        clk, rst, ce, we, oe, addr, di, doq
123
);
124
 
125
//
126
// Default address and data buses width
127
//
128
parameter aw = 10;
129
parameter dw = 32;
130
 
131
`ifdef OR1200_BIST
132
//
133
// RAM BIST
134
//
135
input mbist_si_i;
136
input [`OR1200_MBIST_CTRL_WIDTH - 1:0] mbist_ctrl_i;
137
output mbist_so_o;
138
`endif
139
 
140
//
141
// Generic synchronous single-port RAM interface
142
//
143
input                   clk;    // Clock
144
input                   rst;    // Reset
145
input                   ce;     // Chip enable input
146
input                   we;     // Write enable input
147
input                   oe;     // Output enable input
148
input   [aw-1:0] addr;   // address bus inputs
149
input   [dw-1:0] di;     // input data bus
150
output  [dw-1:0] doq;    // output data bus
151
 
152
//
153
// Internal wires and registers
154
//
155
 
156
`ifdef OR1200_ARTISAN_SSP
157
`else
158
`ifdef OR1200_VIRTUALSILICON_SSP
159
`else
160
`ifdef OR1200_BIST
161
assign mbist_so_o = mbist_si_i;
162
`endif
163
`endif
164
`endif
165
 
166
`ifdef OR1200_ARTISAN_SSP
167
 
168
//
169
// Instantiation of ASIC memory:
170
//
171
// Artisan Synchronous Single-Port RAM (ra1sh)
172
//
173
`ifdef UNUSED
174
art_hssp_1024x32 #(dw, 1<<aw, aw) artisan_ssp(
175
`else
176
`ifdef OR1200_BIST
177
art_hssp_1024x32_bist artisan_ssp(
178
`else
179
art_hssp_1024x32 artisan_ssp(
180
`endif
181
`endif
182
`ifdef OR1200_BIST
183
        // RAM BIST
184
        .mbist_si_i(mbist_si_i),
185
        .mbist_so_o(mbist_so_o),
186
        .mbist_ctrl_i(mbist_ctrl_i),
187
`endif
188
        .CLK(clk),
189
        .CEN(~ce),
190
        .WEN(~we),
191
        .A(addr),
192
        .D(di),
193
        .OEN(~oe),
194
        .Q(doq)
195
);
196
 
197
`else
198
 
199
`ifdef OR1200_AVANT_ATP
200
 
201
//
202
// Instantiation of ASIC memory:
203
//
204
// Avant! Asynchronous Two-Port RAM
205
//
206
avant_atp avant_atp(
207
        .web(~we),
208
        .reb(),
209
        .oeb(~oe),
210
        .rcsb(),
211
        .wcsb(),
212
        .ra(addr),
213
        .wa(addr),
214
        .di(di),
215
        .doq(doq)
216
);
217
 
218
`else
219
 
220
`ifdef OR1200_VIRAGE_SSP
221
 
222
//
223
// Instantiation of ASIC memory:
224
//
225
// Virage Synchronous 1-port R/W RAM
226
//
227
virage_ssp virage_ssp(
228
        .clk(clk),
229
        .adr(addr),
230
        .d(di),
231
        .we(we),
232
        .oe(oe),
233
        .me(ce),
234
        .q(doq)
235
);
236
 
237
`else
238
 
239
`ifdef OR1200_VIRTUALSILICON_SSP
240
 
241
//
242
// Instantiation of ASIC memory:
243
//
244
// Virtual Silicon Single-Port Synchronous SRAM
245
//
246
`ifdef UNUSED
247
vs_hdsp_1024x32 #(1<<aw, aw-1, dw-1) vs_ssp(
248
`else
249
`ifdef OR1200_BIST
250
vs_hdsp_1024x32_bist vs_ssp(
251
`else
252
vs_hdsp_1024x32 vs_ssp(
253
`endif
254
`endif
255
`ifdef OR1200_BIST
256
        // RAM BIST
257
        .mbist_si_i(mbist_si_i),
258
        .mbist_so_o(mbist_so_o),
259
        .mbist_ctrl_i(mbist_ctrl_i),
260
`endif
261
        .CK(clk),
262
        .ADR(addr),
263
        .DI(di),
264
        .WEN(~we),
265
        .CEN(~ce),
266
        .OEN(~oe),
267
        .DOUT(doq)
268
);
269
 
270
`else
271
 
272
`ifdef OR1200_XILINX_RAMB4
273
 
274
//
275
// Instantiation of FPGA memory:
276
//
277
// Virtex/Spartan2
278
//
279
 
280
//
281
// Block 0
282
//
283
RAMB4_S4 ramb4_s4_0(
284
        .CLK(clk),
285
        .RST(rst),
286
        .ADDR(addr),
287
        .DI(di[3:0]),
288
        .EN(ce),
289
        .WE(we),
290
        .DO(doq[3:0])
291
);
292
 
293
//
294
// Block 1
295
//
296
RAMB4_S4 ramb4_s4_1(
297
        .CLK(clk),
298
        .RST(rst),
299
        .ADDR(addr),
300
        .DI(di[7:4]),
301
        .EN(ce),
302
        .WE(we),
303
        .DO(doq[7:4])
304
);
305
 
306
//
307
// Block 2
308
//
309
RAMB4_S4 ramb4_s4_2(
310
        .CLK(clk),
311
        .RST(rst),
312
        .ADDR(addr),
313
        .DI(di[11:8]),
314
        .EN(ce),
315
        .WE(we),
316
        .DO(doq[11:8])
317
);
318
 
319
//
320
// Block 3
321
//
322
RAMB4_S4 ramb4_s4_3(
323
        .CLK(clk),
324
        .RST(rst),
325
        .ADDR(addr),
326
        .DI(di[15:12]),
327
        .EN(ce),
328
        .WE(we),
329
        .DO(doq[15:12])
330
);
331
 
332
//
333
// Block 4
334
//
335
RAMB4_S4 ramb4_s4_4(
336
        .CLK(clk),
337
        .RST(rst),
338
        .ADDR(addr),
339
        .DI(di[19:16]),
340
        .EN(ce),
341
        .WE(we),
342
        .DO(doq[19:16])
343
);
344
 
345
//
346
// Block 5
347
//
348
RAMB4_S4 ramb4_s4_5(
349
        .CLK(clk),
350
        .RST(rst),
351
        .ADDR(addr),
352
        .DI(di[23:20]),
353
        .EN(ce),
354
        .WE(we),
355
        .DO(doq[23:20])
356
);
357
 
358
//
359
// Block 6
360
//
361
RAMB4_S4 ramb4_s4_6(
362
        .CLK(clk),
363
        .RST(rst),
364
        .ADDR(addr),
365
        .DI(di[27:24]),
366
        .EN(ce),
367
        .WE(we),
368
        .DO(doq[27:24])
369
);
370
 
371
//
372
// Block 7
373
//
374
RAMB4_S4 ramb4_s4_7(
375
        .CLK(clk),
376
        .RST(rst),
377
        .ADDR(addr),
378
        .DI(di[31:28]),
379
        .EN(ce),
380
        .WE(we),
381
        .DO(doq[31:28])
382
);
383
 
384
`else
385
 
386
`ifdef OR1200_XILINX_RAMB16
387
 
388
//
389
// Instantiation of FPGA memory:
390
//
391
// Virtex4/Spartan3E
392
//
393
// Added By Nir Mor
394
//
395
 
396
//
397
// Block 0
398
//
399
RAMB16_S9 ramb16_s9_0(
400
        .CLK(clk),
401
        .SSR(rst),
402
        .ADDR({1'b0,addr}),
403
        .DI(di[7:0]),
404
        .DIP(1'b0),
405
        .EN(ce),
406
        .WE(we),
407
        .DO(doq[7:0]),
408
        .DOP()
409
);
410
 
411
//
412
// Block 1
413
//
414
RAMB16_S9 ramb16_s9_1(
415
        .CLK(clk),
416
        .SSR(rst),
417
        .ADDR({1'b0,addr}),
418
        .DI(di[15:8]),
419
        .DIP(1'b0),
420
        .EN(ce),
421
        .WE(we),
422
        .DO(doq[15:8]),
423
        .DOP()
424
);
425
 
426
//
427
// Block 2
428
//
429
RAMB16_S9 ramb16_s9_2(
430
        .CLK(clk),
431
        .SSR(rst),
432
        .ADDR({1'b0,addr}),
433
        .DI(di[23:16]),
434
        .DIP(1'b0),
435
        .EN(ce),
436
        .WE(we),
437
        .DO(doq[23:16]),
438
        .DOP()
439
);
440
 
441
//
442
// Block 3
443
//
444
RAMB16_S9 ramb16_s9_3(
445
        .CLK(clk),
446
        .SSR(rst),
447
        .ADDR({1'b0,addr}),
448
        .DI(di[31:24]),
449
        .DIP(1'b0),
450
        .EN(ce),
451
        .WE(we),
452
        .DO(doq[31:24]),
453
        .DOP()
454
);
455
 
456
`else
457
 
458
`ifdef OR1200_ALTERA_LPM
459
 
460
//
461
// Instantiation of FPGA memory:
462
//
463
// Altera LPM
464
//
465
// Added By Jamil Khatib
466
//
467
 
468
wire    wr;
469
 
470
assign  wr = ce & we;
471
 
472
initial $display("Using Altera LPM.");
473
 
474
lpm_ram_dq lpm_ram_dq_component (
475
        .address(addr),
476
        .inclock(clk),
477
        .outclock(clk),
478
        .data(di),
479
        .we(wr),
480
        .q(doq)
481
);
482
 
483
defparam lpm_ram_dq_component.lpm_width = dw,
484
        lpm_ram_dq_component.lpm_widthad = aw,
485
        lpm_ram_dq_component.lpm_indata = "REGISTERED",
486
        lpm_ram_dq_component.lpm_address_control = "REGISTERED",
487
        lpm_ram_dq_component.lpm_outdata = "UNREGISTERED",
488
        lpm_ram_dq_component.lpm_hint = "USE_EAB=ON";
489
        // examplar attribute lpm_ram_dq_component NOOPT TRUE
490
 
491
`else
492
 
493
//
494
// Generic single-port synchronous RAM model
495
//
496
 
497
//
498
// Generic RAM's registers and wires
499
//
500
reg     [dw-1:0] mem [(1<<aw)-1:0];       // RAM content
501
reg     [aw-1:0] addr_reg;               // RAM address register
502
 
503
//
504
// Data output drivers
505
//
506
assign doq = (oe) ? mem[addr_reg] : {dw{1'b0}};
507
 
508
//
509
// RAM address register
510
//
511
always @(posedge clk or posedge rst)
512
        if (rst)
513
                addr_reg <= #1 {aw{1'b0}};
514
        else if (ce)
515
                addr_reg <= #1 addr;
516
 
517
//
518
// RAM write
519
//
520
always @(posedge clk)
521
        if (ce && we)
522
                mem[addr] <= #1 di;
523
 
524
`endif  // !OR1200_ALTERA_LPM
525
`endif  // !OR1200_XILINX_RAMB16
526
`endif  // !OR1200_XILINX_RAMB4
527
`endif  // !OR1200_VIRTUALSILICON_SSP
528
`endif  // !OR1200_VIRAGE_SSP
529
`endif  // !OR1200_AVANT_ATP
530
`endif  // !OR1200_ARTISAN_SSP
531
 
532
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.