OpenCores
URL https://opencores.org/ocsvn/or1k_soc_on_altera_embedded_dev_kit/or1k_soc_on_altera_embedded_dev_kit/trunk

Subversion Repositories or1k_soc_on_altera_embedded_dev_kit

[/] [or1k_soc_on_altera_embedded_dev_kit/] [trunk/] [soc/] [rtl/] [or1200/] [rtl/] [verilog/] [or1200_spram_256x21.v] - Blame information for rev 12

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 xianfeng
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  Generic Single-Port Synchronous RAM                         ////
4
////                                                              ////
5
////  This file is part of memory library available from          ////
6
////  http://www.opencores.org/cvsweb.shtml/generic_memories/     ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  This block is a wrapper with common single-port             ////
10
////  synchronous memory interface for different                  ////
11
////  types of ASIC and FPGA RAMs. Beside universal memory        ////
12
////  interface it also provides behavioral model of generic      ////
13
////  single-port synchronous RAM.                                ////
14
////  It should be used in all OPENCORES designs that want to be  ////
15
////  portable accross different target technologies and          ////
16
////  independent of target memory.                               ////
17
////                                                              ////
18
////  Supported ASIC RAMs are:                                    ////
19
////  - Artisan Single-Port Sync RAM                              ////
20
////  - Avant! Two-Port Sync RAM (*)                              ////
21
////  - Virage Single-Port Sync RAM                               ////
22
////  - Virtual Silicon Single-Port Sync RAM                      ////
23
////                                                              ////
24
////  Supported FPGA RAMs are:                                    ////
25
////  - Xilinx Virtex RAMBS16                                     ////
26
////  - Xilinx Virtex RAMB4                                       ////
27
////  - Altera LPM                                                ////
28
////                                                              ////
29
////  To Do:                                                      ////
30
////   - xilinx rams need external tri-state logic                ////
31
////   - fix avant! two-port ram                                  ////
32
////   - add additional RAMs                                      ////
33
////                                                              ////
34
////  Author(s):                                                  ////
35
////      - Damjan Lampret, lampret@opencores.org                 ////
36
////                                                              ////
37
//////////////////////////////////////////////////////////////////////
38
////                                                              ////
39
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
40
////                                                              ////
41
//// This source file may be used and distributed without         ////
42
//// restriction provided that this copyright statement is not    ////
43
//// removed from the file and that any derivative work contains  ////
44
//// the original copyright notice and the associated disclaimer. ////
45
////                                                              ////
46
//// This source file is free software; you can redistribute it   ////
47
//// and/or modify it under the terms of the GNU Lesser General   ////
48
//// Public License as published by the Free Software Foundation; ////
49
//// either version 2.1 of the License, or (at your option) any   ////
50
//// later version.                                               ////
51
////                                                              ////
52
//// This source is distributed in the hope that it will be       ////
53
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
54
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
55
//// PURPOSE.  See the GNU Lesser General Public License for more ////
56
//// details.                                                     ////
57
////                                                              ////
58
//// You should have received a copy of the GNU Lesser General    ////
59
//// Public License along with this source; if not, download it   ////
60
//// from http://www.opencores.org/lgpl.shtml                     ////
61
////                                                              ////
62
//////////////////////////////////////////////////////////////////////
63
//
64
// CVS Revision History
65
//
66
// $Log: or1200_spram_256x21.v,v $
67
// Revision 1.9  2005/10/19 11:37:56  jcastillo
68
// Added support for RAMB16 Xilinx4/Spartan3 primitives
69
//
70
// Revision 1.8  2004/06/08 18:15:32  lampret
71
// Changed behavior of the simulation generic models
72
//
73
// Revision 1.7  2004/04/05 08:29:57  lampret
74
// Merged branch_qmem into main tree.
75
//
76
// Revision 1.3.4.2  2003/12/09 11:46:48  simons
77
// Mbist nameing changed, Artisan ram instance signal names fixed, some synthesis waning fixed.
78
//
79
// Revision 1.3.4.1  2003/07/08 15:36:37  lampret
80
// Added embedded memory QMEM.
81
//
82
// Revision 1.3  2003/04/07 01:19:07  lampret
83
// Added Altera LPM RAMs. Changed generic RAM output when OE inactive.
84
//
85
// Revision 1.2  2002/10/17 20:04:40  lampret
86
// Added BIST scan. Special VS RAMs need to be used to implement BIST.
87
//
88
// Revision 1.1  2002/01/03 08:16:15  lampret
89
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
90
//
91
// Revision 1.10  2001/11/27 21:24:04  lampret
92
// Changed instantiation name of VS RAMs.
93
//
94
// Revision 1.9  2001/11/27 19:45:04  lampret
95
// Fixed VS RAM instantiation - again.
96
//
97
// Revision 1.8  2001/11/23 21:42:31  simons
98
// Program counter divided to PPC and NPC.
99
//
100
// Revision 1.6  2001/10/21 17:57:16  lampret
101
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
102
//
103
// Revision 1.5  2001/10/14 13:12:09  lampret
104
// MP3 version.
105
//
106
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
107
// no message
108
//
109
// Revision 1.1  2001/08/09 13:39:33  lampret
110
// Major clean-up.
111
//
112
// Revision 1.2  2001/07/30 05:38:02  lampret
113
// Adding empty directories required by HDL coding guidelines
114
//
115
//
116
 
117
// synopsys translate_off
118
`include "timescale.v"
119
// synopsys translate_on
120
`include "or1200_defines.v"
121
 
122
module or1200_spram_256x21(
123
`ifdef OR1200_BIST
124
        // RAM BIST
125
        mbist_si_i, mbist_so_o, mbist_ctrl_i,
126
`endif
127
        // Generic synchronous single-port RAM interface
128
        clk, rst, ce, we, oe, addr, di, doq
129
);
130
 
131
//
132
// Default address and data buses width
133
//
134
parameter aw = 8;
135
parameter dw = 21;
136
 
137
`ifdef OR1200_BIST
138
//
139
// RAM BIST
140
//
141
input mbist_si_i;
142
input [`OR1200_MBIST_CTRL_WIDTH - 1:0] mbist_ctrl_i;
143
output mbist_so_o;
144
`endif
145
 
146
//
147
// Generic synchronous single-port RAM interface
148
//
149
input                   clk;    // Clock
150
input                   rst;    // Reset
151
input                   ce;     // Chip enable input
152
input                   we;     // Write enable input
153
input                   oe;     // Output enable input
154
input   [aw-1:0] addr;   // address bus inputs
155
input   [dw-1:0] di;     // input data bus
156
output  [dw-1:0] doq;    // output data bus
157
 
158
//
159
// Internal wires and registers
160
//
161
`ifdef OR1200_XILINX_RAMB4
162
wire    [10:0]           unconnected;
163
`else
164
`ifdef OR1200_XILINX_RAMB16
165
wire    [10:0]           unconnected;
166
`endif // !OR1200_XILINX_RAMB16
167
`endif // !OR1200_XILINX_RAMB4
168
 
169
`ifdef OR1200_ARTISAN_SSP
170
`else
171
`ifdef OR1200_VIRTUALSILICON_SSP
172
`else
173
`ifdef OR1200_BIST
174
assign mbist_so_o = mbist_si_i;
175
`endif
176
`endif
177
`endif
178
 
179
`ifdef OR1200_ARTISAN_SSP
180
 
181
//
182
// Instantiation of ASIC memory:
183
//
184
// Artisan Synchronous Single-Port RAM (ra1sh)
185
//
186
`ifdef UNUSED
187
art_hssp_256x21 #(dw, 1<<aw, aw) artisan_ssp(
188
`else
189
`ifdef OR1200_BIST
190
art_hssp_256x21_bist artisan_ssp(
191
`else
192
art_hssp_256x21 artisan_ssp(
193
`endif
194
`endif
195
`ifdef OR1200_BIST
196
        // RAM BIST
197
        .mbist_si_i(mbist_si_i),
198
        .mbist_so_o(mbist_so_o),
199
        .mbist_ctrl_i(mbist_ctrl_i),
200
`endif
201
        .CLK(clk),
202
        .CEN(~ce),
203
        .WEN(~we),
204
        .A(addr),
205
        .D(di),
206
        .OEN(~oe),
207
        .Q(doq)
208
);
209
 
210
`else
211
 
212
`ifdef OR1200_AVANT_ATP
213
 
214
//
215
// Instantiation of ASIC memory:
216
//
217
// Avant! Asynchronous Two-Port RAM
218
//
219
avant_atp avant_atp(
220
        .web(~we),
221
        .reb(),
222
        .oeb(~oe),
223
        .rcsb(),
224
        .wcsb(),
225
        .ra(addr),
226
        .wa(addr),
227
        .di(di),
228
        .doq(doq)
229
);
230
 
231
`else
232
 
233
`ifdef OR1200_VIRAGE_SSP
234
 
235
//
236
// Instantiation of ASIC memory:
237
//
238
// Virage Synchronous 1-port R/W RAM
239
//
240
virage_ssp virage_ssp(
241
        .clk(clk),
242
        .adr(addr),
243
        .d(di),
244
        .we(we),
245
        .oe(oe),
246
        .me(ce),
247
        .q(doq)
248
);
249
 
250
`else
251
 
252
`ifdef OR1200_VIRTUALSILICON_SSP
253
 
254
//
255
// Instantiation of ASIC memory:
256
//
257
// Virtual Silicon Single-Port Synchronous SRAM
258
//
259
`ifdef UNUSED
260
vs_hdsp_256x21 #(1<<aw, aw-1, dw-1) vs_ssp(
261
`else
262
`ifdef OR1200_BIST
263
vs_hdsp_256x21_bist vs_ssp(
264
`else
265
vs_hdsp_256x21 vs_ssp(
266
`endif
267
`endif
268
`ifdef OR1200_BIST
269
        // RAM BIST
270
        .mbist_si_i(mbist_si_i),
271
        .mbist_so_o(mbist_so_o),
272
        .mbist_ctrl_i(mbist_ctrl_i),
273
`endif
274
        .CK(clk),
275
        .ADR(addr),
276
        .DI(di),
277
        .WEN(~we),
278
        .CEN(~ce),
279
        .OEN(~oe),
280
        .DOUT(doq)
281
);
282
 
283
`else
284
 
285
`ifdef OR1200_XILINX_RAMB4
286
 
287
//
288
// Instantiation of FPGA memory:
289
//
290
// Virtex/Spartan2
291
//
292
 
293
//
294
// Block 0
295
//
296
RAMB4_S16 ramb4_s16_0(
297
        .CLK(clk),
298
        .RST(rst),
299
        .ADDR(addr),
300
        .DI(di[15:0]),
301
        .EN(ce),
302
        .WE(we),
303
        .DO(doq[15:0])
304
);
305
 
306
//
307
// Block 1
308
//
309
RAMB4_S16 ramb4_s16_1(
310
        .CLK(clk),
311
        .RST(rst),
312
        .ADDR(addr),
313
        .DI({11'b00000000000, di[20:16]}),
314
        .EN(ce),
315
        .WE(we),
316
        .DO({unconnected, doq[20:16]})
317
);
318
 
319
`else
320
 
321
`ifdef OR1200_XILINX_RAMB16
322
 
323
//
324
// Instantiation of FPGA memory:
325
//
326
// Virtex4/Spartan3E
327
//
328
// Added By Nir Mor
329
//
330
 
331
RAMB16_S36 ramb16_s36(
332
        .CLK(clk),
333
        .SSR(rst),
334
        .ADDR({1'b0,addr}),
335
        .DI({11'b00000000000,di[20:0]}),
336
        .DIP(4'h0),
337
        .EN(ce),
338
        .WE(we),
339
        .DO({unconnected,doq[20:0]}),
340
        .DOP()
341
);
342
 
343
`else
344
 
345
`ifdef OR1200_ALTERA_LPM
346
 
347
//
348
// Instantiation of FPGA memory:
349
//
350
// Altera LPM
351
//
352
// Added By Jamil Khatib
353
//
354
 
355
wire    wr;
356
 
357
assign  wr = ce & we;
358
 
359
initial $display("Using Altera LPM.");
360
 
361
lpm_ram_dq lpm_ram_dq_component (
362
        .address(addr),
363
        .inclock(clk),
364
        .outclock(clk),
365
        .data(di),
366
        .we(wr),
367
        .q(doq)
368
);
369
 
370
defparam lpm_ram_dq_component.lpm_width = dw,
371
        lpm_ram_dq_component.lpm_widthad = aw,
372
        lpm_ram_dq_component.lpm_indata = "REGISTERED",
373
        lpm_ram_dq_component.lpm_address_control = "REGISTERED",
374
        lpm_ram_dq_component.lpm_outdata = "UNREGISTERED",
375
        lpm_ram_dq_component.lpm_hint = "USE_EAB=ON";
376
        // examplar attribute lpm_ram_dq_component NOOPT TRUE
377
 
378
`else
379
 
380
//
381
// Generic single-port synchronous RAM model
382
//
383
 
384
//
385
// Generic RAM's registers and wires
386
//
387
reg     [dw-1:0] mem [(1<<aw)-1:0];       // RAM content
388
reg     [aw-1:0] addr_reg;               // RAM address register
389
 
390
//
391
// Data output drivers
392
//
393
assign doq = (oe) ? mem[addr_reg] : {dw{1'b0}};
394
 
395
//
396
// RAM adress register
397
//
398
always @(posedge clk or posedge rst)
399
        if (rst)
400
                addr_reg <= #1 {aw{1'b0}};
401
        else if (ce)
402
                addr_reg <= #1 addr;
403
 
404
//
405
// RAM write
406
//
407
always @(posedge clk)
408
        if (ce && we)
409
                mem[addr] <= #1 di;
410
 
411
`endif  // !OR1200_ALTERA_LPM
412
`endif  // !OR1200_XILINX_RAMB16
413
`endif  // !OR1200_XILINX_RAMB4
414
`endif  // !OR1200_VIRTUALSILICON_SSP
415
`endif  // !OR1200_VIRAGE_SSP
416
`endif  // !OR1200_AVANT_ATP
417
`endif  // !OR1200_ARTISAN_SSP
418
 
419
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.