OpenCores
URL https://opencores.org/ocsvn/or1k_soc_on_altera_embedded_dev_kit/or1k_soc_on_altera_embedded_dev_kit/trunk

Subversion Repositories or1k_soc_on_altera_embedded_dev_kit

[/] [or1k_soc_on_altera_embedded_dev_kit/] [trunk/] [soc/] [rtl/] [or1200/] [rtl/] [verilog/] [or1200_spram_64x22.v] - Blame information for rev 12

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 xianfeng
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  Generic Single-Port Synchronous RAM                         ////
4
////                                                              ////
5
////  This file is part of memory library available from          ////
6
////  http://www.opencores.org/cvsweb.shtml/generic_memories/     ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  This block is a wrapper with common single-port             ////
10
////  synchronous memory interface for different                  ////
11
////  types of ASIC and FPGA RAMs. Beside universal memory        ////
12
////  interface it also provides behavioral model of generic      ////
13
////  single-port synchronous RAM.                                ////
14
////  It should be used in all OPENCORES designs that want to be  ////
15
////  portable accross different target technologies and          ////
16
////  independent of target memory.                               ////
17
////                                                              ////
18
////  Supported ASIC RAMs are:                                    ////
19
////  - Artisan Single-Port Sync RAM                              ////
20
////  - Avant! Two-Port Sync RAM (*)                              ////
21
////  - Virage Single-Port Sync RAM                               ////
22
////  - Virtual Silicon Single-Port Sync RAM                      ////
23
////                                                              ////
24
////  Supported FPGA RAMs are:                                    ////
25
////  - Xilinx Virtex RAMB16                                      ////
26
////  - Xilinx Virtex RAMB4                                       ////
27
////  - Altera LPM                                                ////
28
////                                                              ////
29
////  To Do:                                                      ////
30
////   - xilinx rams need external tri-state logic                ////
31
////   - fix avant! two-port ram                                  ////
32
////   - add additional RAMs                                      ////
33
////                                                              ////
34
////  Author(s):                                                  ////
35
////      - Damjan Lampret, lampret@opencores.org                 ////
36
////                                                              ////
37
//////////////////////////////////////////////////////////////////////
38
////                                                              ////
39
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
40
////                                                              ////
41
//// This source file may be used and distributed without         ////
42
//// restriction provided that this copyright statement is not    ////
43
//// removed from the file and that any derivative work contains  ////
44
//// the original copyright notice and the associated disclaimer. ////
45
////                                                              ////
46
//// This source file is free software; you can redistribute it   ////
47
//// and/or modify it under the terms of the GNU Lesser General   ////
48
//// Public License as published by the Free Software Foundation; ////
49
//// either version 2.1 of the License, or (at your option) any   ////
50
//// later version.                                               ////
51
////                                                              ////
52
//// This source is distributed in the hope that it will be       ////
53
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
54
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
55
//// PURPOSE.  See the GNU Lesser General Public License for more ////
56
//// details.                                                     ////
57
////                                                              ////
58
//// You should have received a copy of the GNU Lesser General    ////
59
//// Public License along with this source; if not, download it   ////
60
//// from http://www.opencores.org/lgpl.shtml                     ////
61
////                                                              ////
62
//////////////////////////////////////////////////////////////////////
63
//
64
// CVS Revision History
65
//
66
// $Log: or1200_spram_64x22.v,v $
67
// Revision 1.9  2005/10/19 11:37:56  jcastillo
68
// Added support for RAMB16 Xilinx4/Spartan3 primitives
69
//
70
// Revision 1.8  2004/06/08 18:15:32  lampret
71
// Changed behavior of the simulation generic models
72
//
73
// Revision 1.7  2004/04/05 08:29:57  lampret
74
// Merged branch_qmem into main tree.
75
//
76
// Revision 1.3.4.1  2003/12/09 11:46:48  simons
77
// Mbist nameing changed, Artisan ram instance signal names fixed, some synthesis waning fixed.
78
//
79
// Revision 1.3  2003/04/07 01:19:07  lampret
80
// Added Altera LPM RAMs. Changed generic RAM output when OE inactive.
81
//
82
// Revision 1.2  2002/10/17 20:04:41  lampret
83
// Added BIST scan. Special VS RAMs need to be used to implement BIST.
84
//
85
// Revision 1.1  2002/01/03 08:16:15  lampret
86
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
87
//
88
// Revision 1.7  2001/11/02 18:57:14  lampret
89
// Modified virtual silicon instantiations.
90
//
91
// Revision 1.6  2001/10/21 17:57:16  lampret
92
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
93
//
94
// Revision 1.5  2001/10/14 13:12:09  lampret
95
// MP3 version.
96
//
97
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
98
// no message
99
//
100
// Revision 1.1  2001/08/09 13:39:33  lampret
101
// Major clean-up.
102
//
103
// Revision 1.2  2001/07/30 05:38:02  lampret
104
// Adding empty directories required by HDL coding guidelines
105
//
106
//
107
 
108
// synopsys translate_off
109
`include "timescale.v"
110
// synopsys translate_on
111
`include "or1200_defines.v"
112
 
113
module or1200_spram_64x22(
114
`ifdef OR1200_BIST
115
        // RAM BIST
116
        mbist_si_i, mbist_so_o, mbist_ctrl_i,
117
`endif
118
        // Generic synchronous single-port RAM interface
119
        clk, rst, ce, we, oe, addr, di, doq
120
);
121
 
122
//
123
// Default address and data buses width
124
//
125
parameter aw = 6;
126
parameter dw = 22;
127
 
128
`ifdef OR1200_BIST
129
//
130
// RAM BIST
131
//
132
input mbist_si_i;
133
input [`OR1200_MBIST_CTRL_WIDTH - 1:0] mbist_ctrl_i;
134
output mbist_so_o;
135
`endif
136
 
137
//
138
// Generic synchronous single-port RAM interface
139
//
140
input                   clk;    // Clock
141
input                   rst;    // Reset
142
input                   ce;     // Chip enable input
143
input                   we;     // Write enable input
144
input                   oe;     // Output enable input
145
input   [aw-1:0] addr;   // address bus inputs
146
input   [dw-1:0] di;     // input data bus
147
output  [dw-1:0] doq;    // output data bus
148
 
149
//
150
// Internal wires and registers
151
//
152
`ifdef OR1200_XILINX_RAMB4
153
wire    [9:0]            unconnected;
154
`else
155
`ifdef OR1200_XILINX_RAMB16
156
wire    [9:0]            unconnected;
157
`endif // !OR1200_XILINX_RAMB16
158
`endif // !OR1200_XILINX_RAMB4
159
 
160
 
161
`ifdef OR1200_ARTISAN_SSP
162
`else
163
`ifdef OR1200_VIRTUALSILICON_SSP
164
`else
165
`ifdef OR1200_BIST
166
assign mbist_so_o = mbist_si_i;
167
`endif
168
`endif
169
`endif
170
 
171
`ifdef OR1200_ARTISAN_SSP
172
 
173
//
174
// Instantiation of ASIC memory:
175
//
176
// Artisan Synchronous Single-Port RAM (ra1sh)
177
//
178
`ifdef UNUSED
179
art_hssp_64x22 #(dw, 1<<aw, aw) artisan_ssp(
180
`else
181
`ifdef OR1200_BIST
182
art_hssp_64x22_bist artisan_ssp(
183
`else
184
art_hssp_64x22 artisan_ssp(
185
`endif
186
`endif
187
`ifdef OR1200_BIST
188
        // RAM BIST
189
        .mbist_si_i(mbist_si_i),
190
        .mbist_so_o(mbist_so_o),
191
        .mbist_ctrl_i(mbist_ctrl_i),
192
`endif
193
        .CLK(clk),
194
        .CEN(~ce),
195
        .WEN(~we),
196
        .A(addr),
197
        .D(di),
198
        .OEN(~oe),
199
        .Q(doq)
200
);
201
 
202
`else
203
 
204
`ifdef OR1200_AVANT_ATP
205
 
206
//
207
// Instantiation of ASIC memory:
208
//
209
// Avant! Asynchronous Two-Port RAM
210
//
211
avant_atp avant_atp(
212
        .web(~we),
213
        .reb(),
214
        .oeb(~oe),
215
        .rcsb(),
216
        .wcsb(),
217
        .ra(addr),
218
        .wa(addr),
219
        .di(di),
220
        .doq(doq)
221
);
222
 
223
`else
224
 
225
`ifdef OR1200_VIRAGE_SSP
226
 
227
//
228
// Instantiation of ASIC memory:
229
//
230
// Virage Synchronous 1-port R/W RAM
231
//
232
virage_ssp virage_ssp(
233
        .clk(clk),
234
        .adr(addr),
235
        .d(di),
236
        .we(we),
237
        .oe(oe),
238
        .me(ce),
239
        .q(doq)
240
);
241
 
242
`else
243
 
244
`ifdef OR1200_VIRTUALSILICON_SSP
245
 
246
//
247
// Instantiation of ASIC memory:
248
//
249
// Virtual Silicon Single-Port Synchronous SRAM
250
//
251
`ifdef UNUSED
252
vs_hdsp_64x22 #(1<<aw, aw-1, dw-1) vs_ssp(
253
`else
254
`ifdef OR1200_BIST
255
vs_hdsp_64x22_bist vs_ssp(
256
`else
257
vs_hdsp_64x22 vs_ssp(
258
`endif
259
`endif
260
`ifdef OR1200_BIST
261
        // RAM BIST
262
        .mbist_si_i(mbist_si_i),
263
        .mbist_so_o(mbist_so_o),
264
        .mbist_ctrl_i(mbist_ctrl_i),
265
`endif
266
        .CK(clk),
267
        .ADR(addr),
268
        .DI(di),
269
        .WEN(~we),
270
        .CEN(~ce),
271
        .OEN(~oe),
272
        .DOUT(doq)
273
);
274
 
275
`else
276
 
277
`ifdef OR1200_XILINX_RAMB4
278
 
279
//
280
// Instantiation of FPGA memory:
281
//
282
// Virtex/Spartan2
283
//
284
 
285
//
286
// Block 0
287
//
288
RAMB4_S16 ramb4_s16_0(
289
        .CLK(clk),
290
        .RST(rst),
291
        .ADDR({2'b00, addr}),
292
        .DI(di[15:0]),
293
        .EN(ce),
294
        .WE(we),
295
        .DO(doq[15:0])
296
);
297
 
298
//
299
// Block 1
300
//
301
RAMB4_S16 ramb4_s16_1(
302
        .CLK(clk),
303
        .RST(rst),
304
        .ADDR({2'b00, addr}),
305
        .DI({10'b0000000000, di[21:16]}),
306
        .EN(ce),
307
        .WE(we),
308
        .DO({unconnected, doq[21:16]})
309
);
310
 
311
`else
312
 
313
`ifdef OR1200_XILINX_RAMB16
314
 
315
//
316
// Instantiation of FPGA memory:
317
//
318
// Virtex4/Spartan3E
319
//
320
// Added By Nir Mor
321
//
322
 
323
RAMB16_S36 ramb16_s36(
324
        .CLK(clk),
325
        .SSR(rst),
326
        .ADDR({3'b000, addr}),
327
        .DI({10'b0000000000,di}),
328
        .DIP(4'h0),
329
        .EN(ce),
330
        .WE(we),
331
        .DO({unconnected, doq}),
332
        .DOP()
333
);
334
 
335
`else
336
 
337
`ifdef OR1200_ALTERA_LPM
338
 
339
//
340
// Instantiation of FPGA memory:
341
//
342
// Altera LPM
343
//
344
// Added By Jamil Khatib
345
//
346
 
347
wire    wr;
348
 
349
assign  wr = ce & we;
350
 
351
initial $display("Using Altera LPM.");
352
 
353
lpm_ram_dq lpm_ram_dq_component (
354
        .address(addr),
355
        .inclock(clk),
356
        .outclock(clk),
357
        .data(di),
358
        .we(wr),
359
        .q(doq)
360
);
361
 
362
defparam lpm_ram_dq_component.lpm_width = dw,
363
        lpm_ram_dq_component.lpm_widthad = aw,
364
        lpm_ram_dq_component.lpm_indata = "REGISTERED",
365
        lpm_ram_dq_component.lpm_address_control = "REGISTERED",
366
        lpm_ram_dq_component.lpm_outdata = "UNREGISTERED",
367
        lpm_ram_dq_component.lpm_hint = "USE_EAB=ON";
368
        // examplar attribute lpm_ram_dq_component NOOPT TRUE
369
 
370
`else
371
 
372
//
373
// Generic single-port synchronous RAM model
374
//
375
 
376
//
377
// Generic RAM's registers and wires
378
//
379
reg     [dw-1:0] mem [(1<<aw)-1:0];       // RAM content
380
reg     [aw-1:0] addr_reg;               // RAM address register
381
 
382
//
383
// Data output drivers
384
//
385
assign doq = (oe) ? mem[addr_reg] : {dw{1'b0}};
386
 
387
//
388
// RAM address register
389
//
390
always @(posedge clk or posedge rst)
391
        if (rst)
392
                addr_reg <= #1 {aw{1'b0}};
393
        else if (ce)
394
                addr_reg <= #1 addr;
395
 
396
//
397
// RAM write
398
//
399
always @(posedge clk)
400
        if (ce && we)
401
                mem[addr] <= #1 di;
402
 
403
`endif  // !OR1200_ALTERA_LPM
404
`endif  // !OR1200_XILINX_RAMB16
405
`endif  // !OR1200_XILINX_RAMB4
406
`endif  // !OR1200_VIRTUALSILICON_SSP
407
`endif  // !OR1200_VIRAGE_SSP
408
`endif  // !OR1200_AVANT_ATP
409
`endif  // !OR1200_ARTISAN_SSP
410
 
411
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.