OpenCores
URL https://opencores.org/ocsvn/or1k_soc_on_altera_embedded_dev_kit/or1k_soc_on_altera_embedded_dev_kit/trunk

Subversion Repositories or1k_soc_on_altera_embedded_dev_kit

[/] [or1k_soc_on_altera_embedded_dev_kit/] [trunk/] [soc/] [rtl/] [or1200/] [rtl/] [verilog/] [or1200_spram_64x24.v] - Blame information for rev 12

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 xianfeng
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  Generic Single-Port Synchronous RAM                         ////
4
////                                                              ////
5
////  This file is part of memory library available from          ////
6
////  http://www.opencores.org/cvsweb.shtml/generic_memories/     ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  This block is a wrapper with common single-port             ////
10
////  synchronous memory interface for different                  ////
11
////  types of ASIC and FPGA RAMs. Beside universal memory        ////
12
////  interface it also provides behavioral model of generic      ////
13
////  single-port synchronous RAM.                                ////
14
////  It should be used in all OPENCORES designs that want to be  ////
15
////  portable accross different target technologies and          ////
16
////  independent of target memory.                               ////
17
////                                                              ////
18
////  Supported ASIC RAMs are:                                    ////
19
////  - Artisan Single-Port Sync RAM                              ////
20
////  - Avant! Two-Port Sync RAM (*)                              ////
21
////  - Virage Single-Port Sync RAM                               ////
22
////  - Virtual Silicon Single-Port Sync RAM                      ////
23
////                                                              ////
24
////  Supported FPGA RAMs are:                                    ////
25
////  - Xilinx Virtex RAMB16                                      ////
26
////  - Xilinx Virtex RAMB4                                       ////
27
////  - Altera LPM                                                ////
28
////                                                              ////
29
////  To Do:                                                      ////
30
////   - xilinx rams need external tri-state logic                ////
31
////   - fix avant! two-port ram                                  ////
32
////   - add additional RAMs                                      ////
33
////                                                              ////
34
////  Author(s):                                                  ////
35
////      - Damjan Lampret, lampret@opencores.org                 ////
36
////                                                              ////
37
//////////////////////////////////////////////////////////////////////
38
////                                                              ////
39
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
40
////                                                              ////
41
//// This source file may be used and distributed without         ////
42
//// restriction provided that this copyright statement is not    ////
43
//// removed from the file and that any derivative work contains  ////
44
//// the original copyright notice and the associated disclaimer. ////
45
////                                                              ////
46
//// This source file is free software; you can redistribute it   ////
47
//// and/or modify it under the terms of the GNU Lesser General   ////
48
//// Public License as published by the Free Software Foundation; ////
49
//// either version 2.1 of the License, or (at your option) any   ////
50
//// later version.                                               ////
51
////                                                              ////
52
//// This source is distributed in the hope that it will be       ////
53
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
54
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
55
//// PURPOSE.  See the GNU Lesser General Public License for more ////
56
//// details.                                                     ////
57
////                                                              ////
58
//// You should have received a copy of the GNU Lesser General    ////
59
//// Public License along with this source; if not, download it   ////
60
//// from http://www.opencores.org/lgpl.shtml                     ////
61
////                                                              ////
62
//////////////////////////////////////////////////////////////////////
63
//
64
// CVS Revision History
65
//
66
// $Log: or1200_spram_64x24.v,v $
67
// Revision 1.9  2005/10/19 11:37:56  jcastillo
68
// Added support for RAMB16 Xilinx4/Spartan3 primitives
69
//
70
// Revision 1.8  2004/06/08 18:15:32  lampret
71
// Changed behavior of the simulation generic models
72
//
73
// Revision 1.7  2004/04/05 08:29:57  lampret
74
// Merged branch_qmem into main tree.
75
//
76
// Revision 1.3.4.1  2003/12/09 11:46:48  simons
77
// Mbist nameing changed, Artisan ram instance signal names fixed, some synthesis waning fixed.
78
//
79
// Revision 1.3  2003/04/07 01:19:07  lampret
80
// Added Altera LPM RAMs. Changed generic RAM output when OE inactive.
81
//
82
// Revision 1.2  2002/10/17 20:04:41  lampret
83
// Added BIST scan. Special VS RAMs need to be used to implement BIST.
84
//
85
// Revision 1.1  2002/01/03 08:16:15  lampret
86
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
87
//
88
// Revision 1.8  2001/11/02 18:57:14  lampret
89
// Modified virtual silicon instantiations.
90
//
91
// Revision 1.7  2001/10/22 19:39:56  lampret
92
// Fixed parameters in generic sprams.
93
//
94
// Revision 1.6  2001/10/21 17:57:16  lampret
95
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
96
//
97
// Revision 1.5  2001/10/14 13:12:09  lampret
98
// MP3 version.
99
//
100
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
101
// no message
102
//
103
// Revision 1.1  2001/08/09 13:39:33  lampret
104
// Major clean-up.
105
//
106
// Revision 1.2  2001/07/30 05:38:02  lampret
107
// Adding empty directories required by HDL coding guidelines
108
//
109
//
110
 
111
// synopsys translate_off
112
`include "timescale.v"
113
// synopsys translate_on
114
`include "or1200_defines.v"
115
 
116
module or1200_spram_64x24(
117
`ifdef OR1200_BIST
118
        // RAM BIST
119
        mbist_si_i, mbist_so_o, mbist_ctrl_i,
120
`endif
121
        // Generic synchronous single-port RAM interface
122
        clk, rst, ce, we, oe, addr, di, doq
123
);
124
 
125
//
126
// Default address and data buses width
127
//
128
parameter aw = 6;
129
parameter dw = 24;
130
 
131
`ifdef OR1200_BIST
132
//
133
// RAM BIST
134
//
135
input mbist_si_i;
136
input [`OR1200_MBIST_CTRL_WIDTH - 1:0] mbist_ctrl_i;
137
output mbist_so_o;
138
`endif
139
 
140
//
141
// Generic synchronous single-port RAM interface
142
//
143
input                   clk;    // Clock
144
input                   rst;    // Reset
145
input                   ce;     // Chip enable input
146
input                   we;     // Write enable input
147
input                   oe;     // Output enable input
148
input   [aw-1:0] addr;   // address bus inputs
149
input   [dw-1:0] di;     // input data bus
150
output  [dw-1:0] doq;    // output data bus
151
 
152
//
153
// Internal wires and registers
154
//
155
`ifdef OR1200_XILINX_RAMB4
156
wire    [7:0]            unconnected;
157
`else
158
`ifdef OR1200_XILINX_RAMB16
159
wire    [7:0]            unconnected;
160
`endif // !OR1200_XILINX_RAMB16
161
`endif // !OR1200_XILINX_RAMB4
162
 
163
`ifdef OR1200_ARTISAN_SSP
164
`else
165
`ifdef OR1200_VIRTUALSILICON_SSP
166
`else
167
`ifdef OR1200_BIST
168
assign mbist_so_o = mbist_si_i;
169
`endif
170
`endif
171
`endif
172
 
173
`ifdef OR1200_ARTISAN_SSP
174
 
175
//
176
// Instantiation of ASIC memory:
177
//
178
// Artisan Synchronous Single-Port RAM (ra1sh)
179
//
180
`ifdef UNUSED
181
art_hssp_64x24 #(dw, 1<<aw, aw) artisan_ssp(
182
`else
183
`ifdef OR1200_BIST
184
art_hssp_64x24_bist artisan_ssp(
185
`else
186
art_hssp_64x24 artisan_ssp(
187
`endif
188
`endif
189
`ifdef OR1200_BIST
190
        // RAM BIST
191
        .mbist_si_i(mbist_si_i),
192
        .mbist_so_o(mbist_so_o),
193
        .mbist_ctrl_i(mbist_ctrl_i),
194
`endif
195
        .CLK(clk),
196
        .CEN(~ce),
197
        .WEN(~we),
198
        .A(addr),
199
        .D(di),
200
        .OEN(~oe),
201
        .Q(doq)
202
);
203
 
204
`else
205
 
206
`ifdef OR1200_AVANT_ATP
207
 
208
//
209
// Instantiation of ASIC memory:
210
//
211
// Avant! Asynchronous Two-Port RAM
212
//
213
avant_atp avant_atp(
214
        .web(~we),
215
        .reb(),
216
        .oeb(~oe),
217
        .rcsb(),
218
        .wcsb(),
219
        .ra(addr),
220
        .wa(addr),
221
        .di(di),
222
        .doq(doq)
223
);
224
 
225
`else
226
 
227
`ifdef OR1200_VIRAGE_SSP
228
 
229
//
230
// Instantiation of ASIC memory:
231
//
232
// Virage Synchronous 1-port R/W RAM
233
//
234
virage_ssp virage_ssp(
235
        .clk(clk),
236
        .adr(addr),
237
        .d(di),
238
        .we(we),
239
        .oe(oe),
240
        .me(ce),
241
        .q(doq)
242
);
243
 
244
`else
245
 
246
`ifdef OR1200_VIRTUALSILICON_SSP
247
 
248
//
249
// Instantiation of ASIC memory:
250
//
251
// Virtual Silicon Single-Port Synchronous SRAM
252
//
253
`ifdef UNUSED
254
vs_hdsp_64x24 #(1<<aw, aw-1, dw-1) vs_ssp(
255
`else
256
`ifdef OR1200_BIST
257
vs_hdsp_64x24_bist vs_ssp(
258
`else
259
vs_hdsp_64x24 vs_ssp(
260
`endif
261
`endif
262
`ifdef OR1200_BIST
263
        // RAM BIST
264
        .mbist_si_i(mbist_si_i),
265
        .mbist_so_o(mbist_so_o),
266
        .mbist_ctrl_i(mbist_ctrl_i),
267
`endif
268
        .CK(clk),
269
        .ADR(addr),
270
        .DI(di),
271
        .WEN(~we),
272
        .CEN(~ce),
273
        .OEN(~oe),
274
        .DOUT(doq)
275
);
276
 
277
`else
278
 
279
`ifdef OR1200_XILINX_RAMB4
280
 
281
//
282
// Instantiation of FPGA memory:
283
//
284
// Virtex/Spartan2
285
//
286
 
287
//
288
// Block 0
289
//
290
RAMB4_S16 ramb4_s16_0(
291
        .CLK(clk),
292
        .RST(rst),
293
        .ADDR({2'b00, addr}),
294
        .DI(di[15:0]),
295
        .EN(ce),
296
        .WE(we),
297
        .DO(doq[15:0])
298
);
299
 
300
//
301
// Block 1
302
//
303
RAMB4_S16 ramb4_s16_1(
304
        .CLK(clk),
305
        .RST(rst),
306
        .ADDR({2'b00, addr}),
307
        .DI({8'h00, di[23:16]}),
308
        .EN(ce),
309
        .WE(we),
310
        .DO({unconnected, doq[23:16]})
311
);
312
 
313
`else
314
 
315
`ifdef OR1200_XILINX_RAMB16
316
 
317
//
318
// Instantiation of FPGA memory:
319
//
320
// Virtex4/Spartan3E
321
//
322
// Added By Nir Mor
323
//
324
 
325
RAMB16_S36 ramb16_s36(
326
        .CLK(clk),
327
        .SSR(rst),
328
        .ADDR({3'b000, addr}),
329
        .DI({8'h00,di}),
330
        .DIP(4'h0),
331
        .EN(ce),
332
        .WE(we),
333
        .DO({unconnected, doq}),
334
        .DOP()
335
);
336
 
337
`else
338
 
339
`ifdef OR1200_ALTERA_LPM
340
 
341
//
342
// Instantiation of FPGA memory:
343
//
344
// Altera LPM
345
//
346
// Added By Jamil Khatib
347
//
348
 
349
wire    wr;
350
 
351
assign  wr = ce & we;
352
 
353
initial $display("Using Altera LPM.");
354
 
355
lpm_ram_dq lpm_ram_dq_component (
356
        .address(addr),
357
        .inclock(clk),
358
        .outclock(clk),
359
        .data(di),
360
        .we(wr),
361
        .q(doq)
362
);
363
 
364
defparam lpm_ram_dq_component.lpm_width = dw,
365
        lpm_ram_dq_component.lpm_widthad = aw,
366
        lpm_ram_dq_component.lpm_indata = "REGISTERED",
367
        lpm_ram_dq_component.lpm_address_control = "REGISTERED",
368
        lpm_ram_dq_component.lpm_outdata = "UNREGISTERED",
369
        lpm_ram_dq_component.lpm_hint = "USE_EAB=ON";
370
        // examplar attribute lpm_ram_dq_component NOOPT TRUE
371
 
372
`else
373
 
374
//
375
// Generic single-port synchronous RAM model
376
//
377
 
378
//
379
// Generic RAM's registers and wires
380
//
381
reg     [dw-1:0] mem [(1<<aw)-1:0];       // RAM content
382
reg     [aw-1:0] addr_reg;               // RAM address register
383
 
384
//
385
// Data output drivers
386
//
387
assign doq = (oe) ? mem[addr_reg] : {dw{1'b0}};
388
 
389
//
390
// RAM address register
391
//
392
always @(posedge clk or posedge rst)
393
        if (rst)
394
                addr_reg <= #1 {aw{1'b0}};
395
        else if (ce)
396
                addr_reg <= #1 addr;
397
 
398
//
399
// RAM write
400
//
401
always @(posedge clk)
402
        if (ce && we)
403
                mem[addr] <= #1 di;
404
 
405
`endif  // !OR1200_ALTERA_LPM
406
`endif  // !OR1200_XILINX_RAMB16
407
`endif  // !OR1200_XILINX_RAMB4
408
`endif  // !OR1200_VIRTUALSILICON_SSP
409
`endif  // !OR1200_VIRAGE_SSP
410
`endif  // !OR1200_AVANT_ATP
411
`endif  // !OR1200_ARTISAN_SSP
412
 
413
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.