OpenCores
URL https://opencores.org/ocsvn/or1k_soc_on_altera_embedded_dev_kit/or1k_soc_on_altera_embedded_dev_kit/trunk

Subversion Repositories or1k_soc_on_altera_embedded_dev_kit

[/] [or1k_soc_on_altera_embedded_dev_kit/] [trunk/] [soc/] [rtl/] [or1200/] [rtl/] [verilog/] [or1200_sprs.v] - Blame information for rev 12

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 xianfeng
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's interface to SPRs                                  ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Decoding of SPR addresses and access to SPRs                ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - make it smaller and faster                               ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: or1200_sprs.v,v $
47
// Revision 1.11  2004/04/05 08:29:57  lampret
48
// Merged branch_qmem into main tree.
49
//
50
// Revision 1.9.4.1  2003/12/17 13:43:38  simons
51
// Exception prefix configuration changed.
52
//
53
// Revision 1.9  2002/09/07 05:42:02  lampret
54
// Added optional SR[CY]. Added define to enable additional (compare) flag modifiers. Defines are OR1200_IMPL_ADDC and OR1200_ADDITIONAL_FLAG_MODIFIERS.
55
//
56
// Revision 1.8  2002/08/28 01:44:25  lampret
57
// Removed some commented RTL. Fixed SR/ESR flag bug.
58
//
59
// Revision 1.7  2002/03/29 15:16:56  lampret
60
// Some of the warnings fixed.
61
//
62
// Revision 1.6  2002/03/11 01:26:57  lampret
63
// Changed generation of SPR address. Now it is ORed from base and offset instead of a sum.
64
//
65
// Revision 1.5  2002/02/01 19:56:54  lampret
66
// Fixed combinational loops.
67
//
68
// Revision 1.4  2002/01/23 07:52:36  lampret
69
// Changed default reset values for SR and ESR to match or1ksim's. Fixed flop model in or1200_dpram_32x32 when OR1200_XILINX_RAM32X1D is defined.
70
//
71
// Revision 1.3  2002/01/19 09:27:49  lampret
72
// SR[TEE] should be zero after reset.
73
//
74
// Revision 1.2  2002/01/18 07:56:00  lampret
75
// No more low/high priority interrupts (PICPR removed). Added tick timer exception. Added exception prefix (SR[EPH]). Fixed single-step bug whenreading NPC.
76
//
77
// Revision 1.1  2002/01/03 08:16:15  lampret
78
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
79
//
80
// Revision 1.12  2001/11/23 21:42:31  simons
81
// Program counter divided to PPC and NPC.
82
//
83
// Revision 1.11  2001/11/23 08:38:51  lampret
84
// Changed DSR/DRR behavior and exception detection.
85
//
86
// Revision 1.10  2001/11/12 01:45:41  lampret
87
// Moved flag bit into SR. Changed RF enable from constant enable to dynamic enable for read ports.
88
//
89
// Revision 1.9  2001/10/21 17:57:16  lampret
90
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
91
//
92
// Revision 1.8  2001/10/14 13:12:10  lampret
93
// MP3 version.
94
//
95
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
96
// no message
97
//
98
// Revision 1.3  2001/08/13 03:36:20  lampret
99
// Added cfg regs. Moved all defines into one defines.v file. More cleanup.
100
//
101
// Revision 1.2  2001/08/09 13:39:33  lampret
102
// Major clean-up.
103
//
104
// Revision 1.1  2001/07/20 00:46:21  lampret
105
// Development version of RTL. Libraries are missing.
106
//
107
//
108
 
109
// synopsys translate_off
110
`include "timescale.v"
111
// synopsys translate_on
112
`include "or1200_defines.v"
113
 
114
module or1200_sprs(
115
                // Clk & Rst
116
                clk, rst,
117
 
118
                // Internal CPU interface
119
                flagforw, flag_we, flag, cyforw, cy_we, carry,
120
                addrbase, addrofs, dat_i, alu_op, branch_op,
121
                epcr, eear, esr, except_started,
122
                to_wbmux, epcr_we, eear_we, esr_we, pc_we, sr_we, to_sr, sr,
123
                spr_dat_cfgr, spr_dat_rf, spr_dat_npc, spr_dat_ppc, spr_dat_mac,
124
 
125
                // From/to other RISC units
126
                spr_dat_pic, spr_dat_tt, spr_dat_pm,
127
                spr_dat_dmmu, spr_dat_immu, spr_dat_du,
128
                spr_addr, spr_dat_o, spr_cs, spr_we,
129
 
130
                du_addr, du_dat_du, du_read,
131
                du_write, du_dat_cpu
132
 
133
);
134
 
135
parameter width = `OR1200_OPERAND_WIDTH;
136
 
137
//
138
// I/O Ports
139
//
140
 
141
//
142
// Internal CPU interface
143
//
144
input                           clk;            // Clock
145
input                           rst;            // Reset
146
input                           flagforw;       // From ALU
147
input                           flag_we;        // From ALU
148
output                          flag;           // SR[F]
149
input                           cyforw;         // From ALU
150
input                           cy_we;          // From ALU
151
output                          carry;          // SR[CY]
152
input   [width-1:0]              addrbase;       // SPR base address
153
input   [15:0]                   addrofs;        // SPR offset
154
input   [width-1:0]              dat_i;          // SPR write data
155
input   [`OR1200_ALUOP_WIDTH-1:0]        alu_op;         // ALU operation
156
input   [`OR1200_BRANCHOP_WIDTH-1:0]     branch_op;      // Branch operation
157
input   [width-1:0]              epcr;           // EPCR0
158
input   [width-1:0]              eear;           // EEAR0
159
input   [`OR1200_SR_WIDTH-1:0]   esr;            // ESR0
160
input                           except_started; // Exception was started
161
output  [width-1:0]              to_wbmux;       // For l.mfspr
162
output                          epcr_we;        // EPCR0 write enable
163
output                          eear_we;        // EEAR0 write enable
164
output                          esr_we;         // ESR0 write enable
165
output                          pc_we;          // PC write enable
166
output                          sr_we;          // Write enable SR
167
output  [`OR1200_SR_WIDTH-1:0]   to_sr;          // Data to SR
168
output  [`OR1200_SR_WIDTH-1:0]   sr;             // SR
169
input   [31:0]                   spr_dat_cfgr;   // Data from CFGR
170
input   [31:0]                   spr_dat_rf;     // Data from RF
171
input   [31:0]                   spr_dat_npc;    // Data from NPC
172
input   [31:0]                   spr_dat_ppc;    // Data from PPC   
173
input   [31:0]                   spr_dat_mac;    // Data from MAC
174
 
175
//
176
// To/from other RISC units
177
//
178
input   [31:0]                   spr_dat_pic;    // Data from PIC
179
input   [31:0]                   spr_dat_tt;     // Data from TT
180
input   [31:0]                   spr_dat_pm;     // Data from PM
181
input   [31:0]                   spr_dat_dmmu;   // Data from DMMU
182
input   [31:0]                   spr_dat_immu;   // Data from IMMU
183
input   [31:0]                   spr_dat_du;     // Data from DU
184
output  [31:0]                   spr_addr;       // SPR Address
185
output  [31:0]                   spr_dat_o;      // Data to unit
186
output  [31:0]                   spr_cs;         // Unit select
187
output                          spr_we;         // SPR write enable
188
 
189
//
190
// To/from Debug Unit
191
//
192
input   [width-1:0]              du_addr;        // Address
193
input   [width-1:0]              du_dat_du;      // Data from DU to SPRS
194
input                           du_read;        // Read qualifier
195
input                           du_write;       // Write qualifier
196
output  [width-1:0]              du_dat_cpu;     // Data from SPRS to DU
197
 
198
//
199
// Internal regs & wires
200
//
201
reg     [`OR1200_SR_WIDTH-1:0]           sr;             // SR
202
reg                             write_spr;      // Write SPR
203
reg                             read_spr;       // Read SPR
204
reg     [width-1:0]              to_wbmux;       // For l.mfspr
205
wire                            cfgr_sel;       // Select for cfg regs
206
wire                            rf_sel;         // Select for RF
207
wire                            npc_sel;        // Select for NPC
208
wire                            ppc_sel;        // Select for PPC
209
wire                            sr_sel;         // Select for SR        
210
wire                            epcr_sel;       // Select for EPCR0
211
wire                            eear_sel;       // Select for EEAR0
212
wire                            esr_sel;        // Select for ESR0
213
wire    [31:0]                   sys_data;       // Read data from system SPRs
214
wire                            du_access;      // Debug unit access
215
wire    [`OR1200_ALUOP_WIDTH-1:0]        sprs_op;        // ALU operation
216
reg     [31:0]                   unqualified_cs; // Unqualified chip selects
217
 
218
//
219
// Decide if it is debug unit access
220
//
221
assign du_access = du_read | du_write;
222
 
223
//
224
// Generate sprs opcode
225
//
226
assign sprs_op = du_write ? `OR1200_ALUOP_MTSR : du_read ? `OR1200_ALUOP_MFSR : alu_op;
227
 
228
//
229
// Generate SPR address from base address and offset
230
// OR from debug unit address
231
//
232
assign spr_addr = du_access ? du_addr : addrbase | {16'h0000, addrofs};
233
 
234
//
235
// SPR is written by debug unit or by l.mtspr
236
//
237
assign spr_dat_o = du_write ? du_dat_du : dat_i;
238
 
239
//
240
// debug unit data input:
241
//  - write into debug unit SPRs by debug unit itself
242
//  - read of SPRS by debug unit
243
//  - write into debug unit SPRs by l.mtspr
244
//
245
assign du_dat_cpu = du_write ? du_dat_du : du_read ? to_wbmux : dat_i;
246
 
247
//
248
// Write into SPRs when l.mtspr
249
//
250
assign spr_we = du_write | write_spr;
251
 
252
//
253
// Qualify chip selects
254
//
255
assign spr_cs = unqualified_cs & {32{read_spr | write_spr}};
256
 
257
//
258
// Decoding of groups
259
//
260
always @(spr_addr)
261
        case (spr_addr[`OR1200_SPR_GROUP_BITS]) // synopsys parallel_case
262
                `OR1200_SPR_GROUP_WIDTH'd00: unqualified_cs = 32'b00000000_00000000_00000000_00000001;
263
                `OR1200_SPR_GROUP_WIDTH'd01: unqualified_cs = 32'b00000000_00000000_00000000_00000010;
264
                `OR1200_SPR_GROUP_WIDTH'd02: unqualified_cs = 32'b00000000_00000000_00000000_00000100;
265
                `OR1200_SPR_GROUP_WIDTH'd03: unqualified_cs = 32'b00000000_00000000_00000000_00001000;
266
                `OR1200_SPR_GROUP_WIDTH'd04: unqualified_cs = 32'b00000000_00000000_00000000_00010000;
267
                `OR1200_SPR_GROUP_WIDTH'd05: unqualified_cs = 32'b00000000_00000000_00000000_00100000;
268
                `OR1200_SPR_GROUP_WIDTH'd06: unqualified_cs = 32'b00000000_00000000_00000000_01000000;
269
                `OR1200_SPR_GROUP_WIDTH'd07: unqualified_cs = 32'b00000000_00000000_00000000_10000000;
270
                `OR1200_SPR_GROUP_WIDTH'd08: unqualified_cs = 32'b00000000_00000000_00000001_00000000;
271
                `OR1200_SPR_GROUP_WIDTH'd09: unqualified_cs = 32'b00000000_00000000_00000010_00000000;
272
                `OR1200_SPR_GROUP_WIDTH'd10: unqualified_cs = 32'b00000000_00000000_00000100_00000000;
273
                `OR1200_SPR_GROUP_WIDTH'd11: unqualified_cs = 32'b00000000_00000000_00001000_00000000;
274
                `OR1200_SPR_GROUP_WIDTH'd12: unqualified_cs = 32'b00000000_00000000_00010000_00000000;
275
                `OR1200_SPR_GROUP_WIDTH'd13: unqualified_cs = 32'b00000000_00000000_00100000_00000000;
276
                `OR1200_SPR_GROUP_WIDTH'd14: unqualified_cs = 32'b00000000_00000000_01000000_00000000;
277
                `OR1200_SPR_GROUP_WIDTH'd15: unqualified_cs = 32'b00000000_00000000_10000000_00000000;
278
                `OR1200_SPR_GROUP_WIDTH'd16: unqualified_cs = 32'b00000000_00000001_00000000_00000000;
279
                `OR1200_SPR_GROUP_WIDTH'd17: unqualified_cs = 32'b00000000_00000010_00000000_00000000;
280
                `OR1200_SPR_GROUP_WIDTH'd18: unqualified_cs = 32'b00000000_00000100_00000000_00000000;
281
                `OR1200_SPR_GROUP_WIDTH'd19: unqualified_cs = 32'b00000000_00001000_00000000_00000000;
282
                `OR1200_SPR_GROUP_WIDTH'd20: unqualified_cs = 32'b00000000_00010000_00000000_00000000;
283
                `OR1200_SPR_GROUP_WIDTH'd21: unqualified_cs = 32'b00000000_00100000_00000000_00000000;
284
                `OR1200_SPR_GROUP_WIDTH'd22: unqualified_cs = 32'b00000000_01000000_00000000_00000000;
285
                `OR1200_SPR_GROUP_WIDTH'd23: unqualified_cs = 32'b00000000_10000000_00000000_00000000;
286
                `OR1200_SPR_GROUP_WIDTH'd24: unqualified_cs = 32'b00000001_00000000_00000000_00000000;
287
                `OR1200_SPR_GROUP_WIDTH'd25: unqualified_cs = 32'b00000010_00000000_00000000_00000000;
288
                `OR1200_SPR_GROUP_WIDTH'd26: unqualified_cs = 32'b00000100_00000000_00000000_00000000;
289
                `OR1200_SPR_GROUP_WIDTH'd27: unqualified_cs = 32'b00001000_00000000_00000000_00000000;
290
                `OR1200_SPR_GROUP_WIDTH'd28: unqualified_cs = 32'b00010000_00000000_00000000_00000000;
291
                `OR1200_SPR_GROUP_WIDTH'd29: unqualified_cs = 32'b00100000_00000000_00000000_00000000;
292
                `OR1200_SPR_GROUP_WIDTH'd30: unqualified_cs = 32'b01000000_00000000_00000000_00000000;
293
                `OR1200_SPR_GROUP_WIDTH'd31: unqualified_cs = 32'b10000000_00000000_00000000_00000000;
294
        endcase
295
 
296
//
297
// SPRs System Group
298
//
299
 
300
//
301
// What to write into SR
302
//
303
assign to_sr[`OR1200_SR_FO:`OR1200_SR_OV] =
304
                (branch_op == `OR1200_BRANCHOP_RFE) ? esr[`OR1200_SR_FO:`OR1200_SR_OV] :
305
                (write_spr && sr_sel) ? {1'b1, spr_dat_o[`OR1200_SR_FO-1:`OR1200_SR_OV]}:
306
                sr[`OR1200_SR_FO:`OR1200_SR_OV];
307
assign to_sr[`OR1200_SR_CY] =
308
                (branch_op == `OR1200_BRANCHOP_RFE) ? esr[`OR1200_SR_CY] :
309
                cy_we ? cyforw :
310
                (write_spr && sr_sel) ? spr_dat_o[`OR1200_SR_CY] :
311
                sr[`OR1200_SR_CY];
312
assign to_sr[`OR1200_SR_F] =
313
                (branch_op == `OR1200_BRANCHOP_RFE) ? esr[`OR1200_SR_F] :
314
                flag_we ? flagforw :
315
                (write_spr && sr_sel) ? spr_dat_o[`OR1200_SR_F] :
316
                sr[`OR1200_SR_F];
317
assign to_sr[`OR1200_SR_CE:`OR1200_SR_SM] =
318
                (branch_op == `OR1200_BRANCHOP_RFE) ? esr[`OR1200_SR_CE:`OR1200_SR_SM] :
319
                (write_spr && sr_sel) ? spr_dat_o[`OR1200_SR_CE:`OR1200_SR_SM]:
320
                sr[`OR1200_SR_CE:`OR1200_SR_SM];
321
 
322
//
323
// Selects for system SPRs
324
//
325
assign cfgr_sel = (spr_cs[`OR1200_SPR_GROUP_SYS] && (spr_addr[10:4] == `OR1200_SPR_CFGR));
326
assign rf_sel = (spr_cs[`OR1200_SPR_GROUP_SYS] && (spr_addr[10:5] == `OR1200_SPR_RF));
327
assign npc_sel = (spr_cs[`OR1200_SPR_GROUP_SYS] && (spr_addr[10:0] == `OR1200_SPR_NPC));
328
assign ppc_sel = (spr_cs[`OR1200_SPR_GROUP_SYS] && (spr_addr[10:0] == `OR1200_SPR_PPC));
329
assign sr_sel = (spr_cs[`OR1200_SPR_GROUP_SYS] && (spr_addr[10:0] == `OR1200_SPR_SR));
330
assign epcr_sel = (spr_cs[`OR1200_SPR_GROUP_SYS] && (spr_addr[10:0] == `OR1200_SPR_EPCR));
331
assign eear_sel = (spr_cs[`OR1200_SPR_GROUP_SYS] && (spr_addr[10:0] == `OR1200_SPR_EEAR));
332
assign esr_sel = (spr_cs[`OR1200_SPR_GROUP_SYS] && (spr_addr[10:0] == `OR1200_SPR_ESR));
333
 
334
//
335
// Write enables for system SPRs
336
//
337
assign sr_we = (write_spr && sr_sel) | (branch_op == `OR1200_BRANCHOP_RFE) | flag_we | cy_we;
338
assign pc_we = (write_spr && (npc_sel | ppc_sel));
339
assign epcr_we = (write_spr && epcr_sel);
340
assign eear_we = (write_spr && eear_sel);
341
assign esr_we = (write_spr && esr_sel);
342
 
343
//
344
// Output from system SPRs
345
//
346
assign sys_data = (spr_dat_cfgr & {32{read_spr & cfgr_sel}}) |
347
                  (spr_dat_rf & {32{read_spr & rf_sel}}) |
348
                  (spr_dat_npc & {32{read_spr & npc_sel}}) |
349
                  (spr_dat_ppc & {32{read_spr & ppc_sel}}) |
350
                  ({{32-`OR1200_SR_WIDTH{1'b0}},sr} & {32{read_spr & sr_sel}}) |
351
                  (epcr & {32{read_spr & epcr_sel}}) |
352
                  (eear & {32{read_spr & eear_sel}}) |
353
                  ({{32-`OR1200_SR_WIDTH{1'b0}},esr} & {32{read_spr & esr_sel}});
354
 
355
//
356
// Flag alias
357
//
358
assign flag = sr[`OR1200_SR_F];
359
 
360
//
361
// Carry alias
362
//
363
assign carry = sr[`OR1200_SR_CY];
364
 
365
//
366
// Supervision register
367
//
368
always @(posedge clk or posedge rst)
369
        if (rst)
370
                sr <= #1 {1'b1, `OR1200_SR_EPH_DEF, {`OR1200_SR_WIDTH-3{1'b0}}, 1'b1};
371
        else if (except_started) begin
372
                sr[`OR1200_SR_SM]  <= #1 1'b1;
373
                sr[`OR1200_SR_TEE] <= #1 1'b0;
374
                sr[`OR1200_SR_IEE] <= #1 1'b0;
375
                sr[`OR1200_SR_DME] <= #1 1'b0;
376
                sr[`OR1200_SR_IME] <= #1 1'b0;
377
        end
378
        else if (sr_we)
379
                sr <= #1 to_sr[`OR1200_SR_WIDTH-1:0];
380
 
381
//
382
// MTSPR/MFSPR interface
383
//
384
always @(sprs_op or spr_addr or sys_data or spr_dat_mac or spr_dat_pic or spr_dat_pm or
385
        spr_dat_dmmu or spr_dat_immu or spr_dat_du or spr_dat_tt) begin
386
        case (sprs_op)  // synopsys parallel_case
387
                `OR1200_ALUOP_MTSR : begin
388
                        write_spr = 1'b1;
389
                        read_spr = 1'b0;
390
                        to_wbmux = 32'b0;
391
                end
392
                `OR1200_ALUOP_MFSR : begin
393
                        casex (spr_addr[`OR1200_SPR_GROUP_BITS]) // synopsys parallel_case
394
                                `OR1200_SPR_GROUP_TT:
395
                                        to_wbmux = spr_dat_tt;
396
                                `OR1200_SPR_GROUP_PIC:
397
                                        to_wbmux = spr_dat_pic;
398
                                `OR1200_SPR_GROUP_PM:
399
                                        to_wbmux = spr_dat_pm;
400
                                `OR1200_SPR_GROUP_DMMU:
401
                                        to_wbmux = spr_dat_dmmu;
402
                                `OR1200_SPR_GROUP_IMMU:
403
                                        to_wbmux = spr_dat_immu;
404
                                `OR1200_SPR_GROUP_MAC:
405
                                        to_wbmux = spr_dat_mac;
406
                                `OR1200_SPR_GROUP_DU:
407
                                        to_wbmux = spr_dat_du;
408
                                `OR1200_SPR_GROUP_SYS:
409
                                        to_wbmux = sys_data;
410
                                default:
411
                                        to_wbmux = 32'b0;
412
                        endcase
413
                        write_spr = 1'b0;
414
                        read_spr = 1'b1;
415
                end
416
                default : begin
417
                        write_spr = 1'b0;
418
                        read_spr = 1'b0;
419
                        to_wbmux = 32'b0;
420
                end
421
        endcase
422
end
423
 
424
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.