OpenCores
URL https://opencores.org/ocsvn/or1k_soc_on_altera_embedded_dev_kit/or1k_soc_on_altera_embedded_dev_kit/trunk

Subversion Repositories or1k_soc_on_altera_embedded_dev_kit

[/] [or1k_soc_on_altera_embedded_dev_kit/] [trunk/] [soc/] [rtl/] [or1200/] [rtl/] [verilog/] [or1200_tt.v] - Blame information for rev 12

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 xianfeng
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's Tick Timer                                         ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  TT according to OR1K architectural specification.           ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   None                                                       ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: or1200_tt.v,v $
47
// Revision 1.5  2004/06/08 18:17:36  lampret
48
// Non-functional changes. Coding style fixes.
49
//
50
// Revision 1.4  2002/03/29 15:16:56  lampret
51
// Some of the warnings fixed.
52
//
53
// Revision 1.3  2002/02/12 01:33:47  lampret
54
// No longer using async rst as sync reset for the counter.
55
//
56
// Revision 1.2  2002/01/28 01:16:00  lampret
57
// Changed 'void' nop-ops instead of insn[0] to use insn[16]. Debug unit stalls the tick timer. Prepared new flag generation for add and and insns. Blocked DC/IC while they are turned off. Fixed I/D MMU SPRs layout except WAYs. TODO: smart IC invalidate, l.j 2 and TLB ways.
58
//
59
// Revision 1.1  2002/01/03 08:16:15  lampret
60
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
61
//
62
// Revision 1.10  2001/11/13 10:00:49  lampret
63
// Fixed tick timer interrupt reporting by using TTCR[IP] bit.
64
//
65
// Revision 1.9  2001/11/10 03:43:57  lampret
66
// Fixed exceptions.
67
//
68
// Revision 1.8  2001/10/21 17:57:16  lampret
69
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
70
//
71
// Revision 1.7  2001/10/14 13:12:10  lampret
72
// MP3 version.
73
//
74
// Revision 1.1.1.1  2001/10/06 10:18:35  igorm
75
// no message
76
//
77
// Revision 1.2  2001/08/09 13:39:33  lampret
78
// Major clean-up.
79
//
80
// Revision 1.1  2001/07/20 00:46:23  lampret
81
// Development version of RTL. Libraries are missing.
82
//
83
//
84
 
85
// synopsys translate_off
86
`include "timescale.v"
87
// synopsys translate_on
88
`include "or1200_defines.v"
89
 
90
module or1200_tt(
91
        // RISC Internal Interface
92
        clk, rst, du_stall,
93
        spr_cs, spr_write, spr_addr, spr_dat_i, spr_dat_o,
94
        intr
95
);
96
 
97
//
98
// RISC Internal Interface
99
//
100
input           clk;            // Clock
101
input           rst;            // Reset
102
input           du_stall;       // DU stall
103
input           spr_cs;         // SPR CS
104
input           spr_write;      // SPR Write
105
input   [31:0]   spr_addr;       // SPR Address
106
input   [31:0]   spr_dat_i;      // SPR Write Data
107
output  [31:0]   spr_dat_o;      // SPR Read Data
108
output          intr;           // Interrupt output
109
 
110
`ifdef OR1200_TT_IMPLEMENTED
111
 
112
//
113
// TT Mode Register bits (or no register)
114
//
115
`ifdef OR1200_TT_TTMR
116
reg     [31:0]   ttmr;   // TTMR bits
117
`else
118
wire    [31:0]   ttmr;   // No TTMR register
119
`endif
120
 
121
//
122
// TT Count Register bits (or no register)
123
//
124
`ifdef OR1200_TT_TTCR
125
reg     [31:0]   ttcr;   // TTCR bits
126
`else
127
wire    [31:0]   ttcr;   // No TTCR register
128
`endif
129
 
130
//
131
// Internal wires & regs
132
//
133
wire            ttmr_sel;       // TTMR select
134
wire            ttcr_sel;       // TTCR select
135
wire            match;          // Asserted when TTMR[TP]
136
                                // is equal to TTCR[27:0]
137
wire            restart;        // Restart counter when asserted
138
wire            stop;           // Stop counter when asserted
139
reg     [31:0]   spr_dat_o;      // SPR data out
140
 
141
//
142
// TT registers address decoder
143
//
144
assign ttmr_sel = (spr_cs && (spr_addr[`OR1200_TTOFS_BITS] == `OR1200_TT_OFS_TTMR)) ? 1'b1 : 1'b0;
145
assign ttcr_sel = (spr_cs && (spr_addr[`OR1200_TTOFS_BITS] == `OR1200_TT_OFS_TTCR)) ? 1'b1 : 1'b0;
146
 
147
//
148
// Write to TTMR or update of TTMR[IP] bit
149
//
150
`ifdef OR1200_TT_TTMR
151
always @(posedge clk or posedge rst)
152
        if (rst)
153
                ttmr <= 32'b0;
154
        else if (ttmr_sel && spr_write)
155
                ttmr <= #1 spr_dat_i;
156
        else if (ttmr[`OR1200_TT_TTMR_IE])
157
                ttmr[`OR1200_TT_TTMR_IP] <= #1 ttmr[`OR1200_TT_TTMR_IP] | (match & ttmr[`OR1200_TT_TTMR_IE]);
158
`else
159
assign ttmr = {2'b11, 30'b0};    // TTMR[M] = 0x3
160
`endif
161
 
162
//
163
// Write to or increment of TTCR
164
//
165
`ifdef OR1200_TT_TTCR
166
always @(posedge clk or posedge rst)
167
        if (rst)
168
                ttcr <= 32'b0;
169
        else if (restart)
170
                ttcr <= #1 32'b0;
171
        else if (ttcr_sel && spr_write)
172
                ttcr <= #1 spr_dat_i;
173
        else if (!stop)
174
                ttcr <= #1 ttcr + 32'd1;
175
`else
176
assign ttcr = 32'b0;
177
`endif
178
 
179
//
180
// Read TT registers
181
//
182
always @(spr_addr or ttmr or ttcr)
183
        case (spr_addr[`OR1200_TTOFS_BITS])     // synopsys parallel_case
184
`ifdef OR1200_TT_READREGS
185
                `OR1200_TT_OFS_TTMR: spr_dat_o = ttmr;
186
`endif
187
                default: spr_dat_o = ttcr;
188
        endcase
189
 
190
//
191
// A match when TTMR[TP] is equal to TTCR[27:0]
192
//
193
assign match = (ttmr[`OR1200_TT_TTMR_TP] == ttcr[27:0]) ? 1'b1 : 1'b0;
194
 
195
//
196
// Restart when match and TTMR[M]==0x1
197
//
198
assign restart = match && (ttmr[`OR1200_TT_TTMR_M] == 2'b01);
199
 
200
//
201
// Stop when match and TTMR[M]==0x2 or when TTMR[M]==0x0 or when RISC is stalled by debug unit
202
//
203
assign stop = match & (ttmr[`OR1200_TT_TTMR_M] == 2'b10) | (ttmr[`OR1200_TT_TTMR_M] == 2'b00) | du_stall;
204
 
205
//
206
// Generate an interrupt request
207
//
208
assign intr = ttmr[`OR1200_TT_TTMR_IP];
209
 
210
`else
211
 
212
//
213
// When TT is not implemented, drive all outputs as would when TT is disabled
214
//
215
assign intr = 1'b0;
216
 
217
//
218
// Read TT registers
219
//
220
`ifdef OR1200_TT_READREGS
221
assign spr_dat_o = 32'b0;
222
`endif
223
 
224
`endif
225
 
226
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.