OpenCores
URL https://opencores.org/ocsvn/or1k_soc_on_altera_embedded_dev_kit/or1k_soc_on_altera_embedded_dev_kit/trunk

Subversion Repositories or1k_soc_on_altera_embedded_dev_kit

[/] [or1k_soc_on_altera_embedded_dev_kit/] [trunk/] [soc/] [sw/] [sd_boot_loader/] [ram.ld] - Blame information for rev 12

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 xianfeng
/* Use internal memory*/
2
 
3
MEMORY
4
{
5
    ld_info     : ORIGIN = 0xF0000000, LENGTH = 0x000000F0
6
    vectors     : ORIGIN = 0xF0000100, LENGTH = 0x00000D00 - 0x100
7
    flash       : ORIGIN = 0xF0000D00, LENGTH = 0x00002000 - 0x0A00
8
    ram         : ORIGIN = 0xF0003000, LENGTH = 0x00001000
9
}
10
 
11
/*
12
  The following section defines where to put the different input sections.
13
  .text contains the code.
14
  .data contains the initialized data.
15
  .bss contains uninitialized data.
16
  .sdata contains small constant data.
17
*/
18
 
19
SECTIONS
20
{
21
 
22
      .ld_info :
23
      {
24
        revision.o(.data)
25
      } > ld_info
26
 
27
    .vectors :   { *(.vectors) }    > vectors
28
 
29
    .text :     { *(.text)  }       > flash
30
 
31
    . = ALIGN (0x20);
32
    __end = .;
33
 
34
 
35
    .rodata :   { *(.rodata)}       > flash
36
    .rodata.str1.1 :   { *(.rodata.str1.1)}       > flash
37
    .data :     { *(.data)  }       > ram
38
    .bss :      { *(.bss)   }       > ram
39
 
40
    .stack :
41
    {
42
        __STACK_TOP    = . ;
43
        . = . + 0x500;
44
        __STACK_BOTTOM = . ;
45
    }                               > ram
46
 
47
}
48
 
49
/*
50
  Definitions of identifiers that control initialization and memory allocation:
51
  These two symbols must be present.
52
   __BSS_START  : Start of uninitialized data
53
   __BSS_END    : End of data to be cleared
54
*/
55
 
56
__CODE_START  = ADDR( .text );
57
__CODE_END    = ADDR( .text ) + SIZEOF( .text );
58
 
59
__DATA_START  = ADDR( .bss );
60
__DATA_END    = ADDR( .bss ) + SIZEOF( .bss );

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.