OpenCores
URL https://opencores.org/ocsvn/orsoc_graphics_accelerator/orsoc_graphics_accelerator/trunk

Subversion Repositories orsoc_graphics_accelerator

[/] [orsoc_graphics_accelerator/] [tags/] [version1.0/] [bench/] [verilog/] [gfx/] [blender_bench.v] - Blame information for rev 5

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 maiden
`include "../../../rtl/verilog/gfx/gfx_blender.v"
2
`include "../../../rtl/verilog/gfx/gfx_color.v"
3
 
4
module blender_bench();
5
reg clk_i;
6
reg rst_i;
7
 
8
reg blending_enable_i;
9
reg [31:2] target_base_i;
10
reg [15:0] target_size_x_i;
11
reg [15:0] target_size_y_i;
12
reg [1:0] color_depth_i;
13
 
14
// from fragment
15
reg [15:0] x_counter_i;
16
reg [15:0] y_counter_i;
17
reg [7:0] alpha_i;
18
reg [31:0] pixel_color_i;
19
reg write_i;
20
reg ack_i;
21
 
22
// Wbm
23
reg target_ack_i;
24
wire [31:2] target_addr_o;
25
reg [31:0] target_data_i;
26
wire [3:0] target_sel_o;
27
wire target_request_o;
28
reg wbm_busy_i;
29
 
30
//to render
31
wire [15:0] pixel_x_o;
32
wire [15:0] pixel_y_o;
33
wire [31:0] pixel_color_o;
34
wire write_o;
35
wire ack_o;
36
 
37
initial begin
38
  $dumpfile("blender.vcd");
39
  $dumpvars(0,blender_bench);
40
 
41
// init values
42
  clk_i = 0;
43
  rst_i = 1;
44
  write_i = 0;
45
  blending_enable_i = 0;
46
  alpha_i = 8'h80;
47
  x_counter_i = 0;
48
  y_counter_i = 0;
49
  wbm_busy_i = 0;
50
  color_depth_i = 2'b01; // 16 bit
51
  target_base_i = 32'h01f00000;
52
  target_size_x_i = 12;
53
  target_size_y_i = 10;
54
  pixel_color_i = 32'h00001234;
55
  target_data_i = 32'h00000000;
56
  ack_i = 0;
57
 
58
//timing
59
  #4 rst_i = 0;
60
  #4 write_i = 1;
61
  #2 write_i = 0;
62
 
63
  #10 pixel_color_i = 32'h00005678;
64
  #10 pixel_color_i = 32'h00009abc;
65
  #10 pixel_color_i = 32'h0000f800;
66
// end sim
67
  #100 $finish;
68
end
69
 
70
always @(posedge clk_i)
71
begin
72
  ack_i <= #1 write_o;
73
  target_ack_i <= #1 target_request_o;
74
end
75
 
76
always begin
77
  #1 clk_i = ~clk_i;
78
end
79
 
80
gfx_blender blender(
81
.clk_i            (clk_i),
82
.rst_i            (rst_i),
83
.blending_enable_i(blending_enable_i),
84
.target_base_i    (target_base_i),
85
.target_size_x_i  (target_size_x_i),
86
.target_size_y_i  (target_size_y_i),
87
.color_depth_i    (color_depth_i),
88
.x_counter_i      (x_counter_i),
89
.y_counter_i      (y_counter_i),
90
.alpha_i          (alpha_i),
91
.pixel_color_i    (pixel_color_i),
92
.write_i          (write_i),
93
.ack_i            (ack_i),
94
.target_ack_i     (target_ack_i),
95
.target_addr_o    (target_addr_o),
96
.target_data_i    (target_data_i),
97
.target_sel_o     (target_sel_o),
98
.target_request_o (target_request_o),
99
.wbm_busy_i       (wbm_busy_i),
100
.pixel_x_o        (pixel_x_o),
101
.pixel_y_o        (pixel_y_o),
102
.pixel_color_o    (pixel_color_o),
103
.write_o          (write_o),
104
.ack_o            (ack_o)
105
);
106
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.