OpenCores
URL https://opencores.org/ocsvn/orsoc_graphics_accelerator/orsoc_graphics_accelerator/trunk

Subversion Repositories orsoc_graphics_accelerator

[/] [orsoc_graphics_accelerator/] [tags/] [version1.0/] [bench/] [verilog/] [gfx/] [gtkwave_raster.sav] - Blame information for rev 5

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 maiden
[timestart] 0
2
[size] 1366 744
3
[pos] -1 -1
4
*-5.018312 23 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1
5
[treeopen] raster_bench.
6
[treeopen] raster_bench.raster.
7
@200
8
-sync
9
@28
10
raster_bench.raster.clk_i
11
raster_bench.raster.rst_i
12
@200
13
-input
14
@22
15
raster_bench.raster.clip_pixel0_x_i[15:0]
16
raster_bench.raster.clip_pixel0_y_i[15:0]
17
raster_bench.raster.clip_pixel1_x_i[15:0]
18
raster_bench.raster.clip_pixel1_y_i[15:0]
19
raster_bench.raster.dest_pixel0_x_i[15:0]
20
raster_bench.raster.dest_pixel0_y_i[15:0]
21
raster_bench.raster.dest_pixel1_x_i[15:0]
22
raster_bench.raster.dest_pixel1_y_i[15:0]
23
raster_bench.raster.src_pixel0_x_i[15:0]
24
raster_bench.raster.src_pixel0_y_i[15:0]
25
raster_bench.raster.src_pixel1_x_i[15:0]
26
raster_bench.raster.src_pixel1_y_i[15:0]
27
raster_bench.raster.target_size_x_i[15:0]
28
raster_bench.raster.target_size_y_i[15:0]
29
@28
30
raster_bench.raster.texture_enable_i
31
@200
32
-rect
33
@28
34
raster_bench.raster.rect_write_i
35
raster_bench.raster.empty_raster
36
raster_bench.raster.raster_rect_done
37
raster_bench.raster.raster_rect_line_done
38
@c00022
39
raster_bench.raster.p0_x[15:0]
40
@28
41
(0)raster_bench.raster.p0_x[15:0]
42
(1)raster_bench.raster.p0_x[15:0]
43
(2)raster_bench.raster.p0_x[15:0]
44
(3)raster_bench.raster.p0_x[15:0]
45
(4)raster_bench.raster.p0_x[15:0]
46
(5)raster_bench.raster.p0_x[15:0]
47
(6)raster_bench.raster.p0_x[15:0]
48
(7)raster_bench.raster.p0_x[15:0]
49
(8)raster_bench.raster.p0_x[15:0]
50
(9)raster_bench.raster.p0_x[15:0]
51
(10)raster_bench.raster.p0_x[15:0]
52
(11)raster_bench.raster.p0_x[15:0]
53
(12)raster_bench.raster.p0_x[15:0]
54
(13)raster_bench.raster.p0_x[15:0]
55
(14)raster_bench.raster.p0_x[15:0]
56
(15)raster_bench.raster.p0_x[15:0]
57
@1401200
58
-group_end
59
@22
60
raster_bench.raster.p0_y[15:0]
61
raster_bench.raster.p1_x[15:0]
62
raster_bench.raster.p1_y[15:0]
63
@200
64
-line
65
@28
66
raster_bench.raster.line_write_i
67
raster_bench.raster.draw_line
68
@22
69
raster_bench.raster.delta_major[15:0]
70
raster_bench.raster.delta_minor[15:0]
71
raster_bench.raster.left_pixel_x[15:0]
72
raster_bench.raster.left_pixel_y[15:0]
73
raster_bench.raster.right_pixel_x[15:0]
74
raster_bench.raster.right_pixel_y[15:0]
75
@28
76
raster_bench.raster.raster_line_busy
77
@22
78
raster_bench.raster.major_out[15:0]
79
raster_bench.raster.minor_out[15:0]
80
@28
81
raster_bench.raster.minor_slope_positive
82
raster_bench.raster.x_major_axis
83
@22
84
raster_bench.raster.ydiff[15:0]
85
raster_bench.raster.xdiff[15:0]
86
@200
87
-pipeline
88
@28
89
raster_bench.raster.state[2:0]
90
raster_bench.raster.ready_i
91
raster_bench.raster.ready_o
92
@200
93
-output
94
@22
95
raster_bench.raster.x_counter_o[15:0]
96
raster_bench.raster.y_counter_o[15:0]
97
raster_bench.raster.u_o[15:0]
98
raster_bench.raster.v_o[15:0]
99
@28
100
raster_bench.raster.write_o
101
[pattern_trace] 1
102
[pattern_trace] 0

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.