OpenCores
URL https://opencores.org/ocsvn/orsoc_graphics_accelerator/orsoc_graphics_accelerator/trunk

Subversion Repositories orsoc_graphics_accelerator

[/] [orsoc_graphics_accelerator/] [tags/] [version1.0/] [bench/] [verilog/] [gfx/] [line.sav] - Blame information for rev 5

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 maiden
[*]
2
[*] GTKWave Analyzer v3.3.32 (w)1999-2012 BSI
3
[*] Tue Mar 13 13:52:33 2012
4
[*]
5
[dumpfile] "/home/maiden/Creative/Svn/orgfx/bench/verilog/gfx/line.vcd"
6
[dumpfile_mtime] "Tue Mar 13 13:52:05 2012"
7
[dumpfile_size] 14931
8
[savefile] "/home/maiden/Creative/Svn/orgfx/bench/verilog/gfx/line.sav"
9
[timestart] 0
10
[size] 1278 715
11
[pos] -1 -1
12
*-6.000000 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1
13
[treeopen] line_bench.
14
[sst_width] 225
15
[signals_width] 206
16
[sst_expanded] 1
17
[sst_vpaned_height] 301
18
@28
19
line_bench.bresenham.busy_o
20
line_bench.bresenham.clk_i
21
@22
22
line_bench.bresenham.delta_major_i[15:0]
23
line_bench.bresenham.delta_minor_i[15:0]
24
@28
25
line_bench.bresenham.draw_line_i
26
@22
27
line_bench.bresenham.eps[31:0]
28
line_bench.bresenham.eps_delta_minor[31:0]
29
line_bench.bresenham.major_goal[15:0]
30
line_bench.bresenham.major_o[15:0]
31
line_bench.bresenham.minor_o[15:0]
32
@28
33
line_bench.bresenham.minor_slope_positive_i
34
@22
35
line_bench.bresenham.pixel0_x_i[15:0]
36
line_bench.bresenham.pixel0_y_i[15:0]
37
line_bench.bresenham.pixel1_x_i[15:0]
38
line_bench.bresenham.pixel1_y_i[15:0]
39
@28
40
line_bench.bresenham.read_pixel_i
41
line_bench.bresenham.rst_i
42
line_bench.bresenham.x_major_i
43
[pattern_trace] 1
44
[pattern_trace] 0

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.