OpenCores
URL https://opencores.org/ocsvn/orsoc_graphics_accelerator/orsoc_graphics_accelerator/trunk

Subversion Repositories orsoc_graphics_accelerator

[/] [orsoc_graphics_accelerator/] [tags/] [version1.0/] [bench/] [verilog/] [gfx/] [raster_bench.v] - Blame information for rev 5

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 maiden
`include "../../../rtl/verilog/gfx/gfx_rasterizer.v"
2
`include "../../../rtl/verilog/gfx/gfx_line.v"
3
 
4
module raster_bench();
5
 
6
reg clk_i;
7
reg rst_i;
8
 
9
reg ack_i;
10
wire ack_o;
11
 
12
reg rect_write_i;
13
reg line_write_i;
14
reg texture_enable_i;
15
 
16
reg [15:0] src_pixel0_x_i;
17
reg [15:0] src_pixel0_y_i;
18
reg [15:0] src_pixel1_x_i;
19
reg [15:0] src_pixel1_y_i;
20
reg [15:0] dest_pixel0_x_i;
21
reg [15:0] dest_pixel0_y_i;
22
reg [15:0] dest_pixel1_x_i;
23
reg [15:0] dest_pixel1_y_i;
24
reg [15:0] clip_pixel0_x_i;
25
reg [15:0] clip_pixel0_y_i;
26
reg [15:0] clip_pixel1_x_i;
27
reg [15:0] clip_pixel1_y_i;
28
 
29
 
30
reg [15:0] target_size_x_i;
31
reg [15:0] target_size_y_i;
32
 
33
wire [15:0] x_counter_o;
34
wire [15:0] y_counter_o;
35
wire [15:0] u_o;
36
wire [15:0] v_o;
37
wire write_o;
38
 
39
 
40
initial begin
41
  $dumpfile("raster.vcd");
42
  $dumpvars(0,raster_bench);
43
 
44
// init values
45
  clk_i = 0;
46
  rst_i = 1;
47
  ack_i = 0;
48
  rect_write_i = 0;
49
  line_write_i = 0;
50
  dest_pixel0_x_i = 5;
51
  dest_pixel0_y_i = 5;
52
  dest_pixel1_x_i = 10;
53
  dest_pixel1_y_i = 8;
54
  src_pixel0_x_i = 5;
55
  src_pixel0_y_i = 5;
56
  src_pixel1_x_i = 10;
57
  src_pixel1_y_i = 10;
58
  clip_pixel0_x_i = 5;
59
  clip_pixel0_y_i = 5;
60
  clip_pixel1_x_i = 10;
61
  clip_pixel1_y_i = 10;
62
  target_size_x_i = 640;
63
  target_size_y_i = 480;
64
  texture_enable_i = 0;
65
 
66
 
67
//timing
68
  #4 rst_i = 0;
69
  #2 rect_write_i = 1;
70
  #2 rect_write_i = 0;
71
 
72
  #10 line_write_i = 1;
73
  #2 line_write_i = 0;
74
// end sim
75
 
76
  #100 $finish;
77
end
78
 
79
always begin
80
  #1 clk_i = ~clk_i;
81
end
82
 
83
always @(posedge clk_i)
84
begin
85
    ack_i <= #1 write_o;
86
end
87
 
88
gfx_rasterizer raster(
89
.clk_i            (clk_i),
90
.rst_i            (rst_i),
91
.ack_i            (ack_i),
92
.ack_o            (ack_o),
93
.rect_write_i     (rect_write_i),
94
.line_write_i     (line_write_i),
95
.texture_enable_i (texture_enable_i),
96
.src_pixel0_x_i   (src_pixel0_x_i),
97
.src_pixel0_y_i   (src_pixel0_y_i),
98
.src_pixel1_x_i   (src_pixel1_x_i),
99
.src_pixel1_y_i   (src_pixel1_y_i),
100
.dest_pixel0_x_i  (dest_pixel0_x_i),
101
.dest_pixel0_y_i  (dest_pixel0_y_i),
102
.dest_pixel1_x_i  (dest_pixel1_x_i),
103
.dest_pixel1_y_i  (dest_pixel1_y_i),
104
.clip_pixel0_x_i  (clip_pixel0_x_i),
105
.clip_pixel0_y_i  (clip_pixel0_y_i),
106
.clip_pixel1_x_i  (clip_pixel1_x_i),
107
.clip_pixel1_y_i  (clip_pixel1_y_i),
108
.target_size_x_i  (target_size_x_i),
109
.target_size_y_i  (target_size_y_i),
110
.x_counter_o      (x_counter_o),
111
.y_counter_o      (y_counter_o),
112
.u_o              (u_o),
113
.v_o              (v_o),
114
.write_o          (write_o)
115
);
116
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.