OpenCores
URL https://opencores.org/ocsvn/othellogame/othellogame/trunk

Subversion Repositories othellogame

[/] [othellogame/] [trunk/] [test/] [test_heur.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 marius_mtm
`timescale 1ns / 1ps
2
 
3
////////////////////////////////////////////////////////////////////////////////
4
// Company: 
5
// Engineer:
6
//
7
// Create Date:   03:39:57 05/02/2009
8
// Design Name:   heuristics
9
// Module Name:   E:/Projects/Diplom/Othello/test_heur.v
10
// Project Name:  Othello
11
// Target Device:  
12
// Tool versions:  
13
// Description: 
14
//
15
// Verilog Test Fixture created by ISE for module: heuristics
16
//
17
// Dependencies:
18
// 
19
// Revision:
20
// Revision 0.01 - File Created
21
// Additional Comments:
22
// 
23
////////////////////////////////////////////////////////////////////////////////
24
 
25
module test_heur;
26
 
27
        // Inputs
28
        reg clk;
29
        reg RST;
30
        reg [63:0] R;
31
        reg [63:0] B;
32
        reg [63:0] M;
33
 
34
        // Outputs
35
        wire signed [19:0] value;
36
   wire signed [4:0] dbg1;
37
 
38
        initial begin
39
                // Initialize Inputs
40
                clk = 0;
41
                RST = 1;
42
                R = 64'b00000000_00000000_00000000_00000000_00000000_00000000_00000000_00000001;
43
                B = 64'b11111111_00000000_00000000_00000000_00000000_00000000_00000000_00000000;
44
                M = 64'b00000000_00000000_00000000_00000000_00000000_00000000_00000000_00000000;
45
 
46
                // Wait 100 ns for global reset to finish
47
                #100;
48
                RST = 0;
49
 
50
                // Add stimulus here
51
 
52
        end
53
 
54
 
55
        always #100 clk = ~clk;
56
        // Instantiate the Unit Under Test (UUT)
57
        heuristics uut(
58
                .clk(clk),
59
                .RST(RST),
60
                .R(R),
61
                .B(B),
62
                .M(M),
63
                .value(value)
64
//              .pattern_dbg1(dbg1)
65
        );
66
 
67
 
68
 
69
endmodule
70
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.