OpenCores
URL https://opencores.org/ocsvn/ourisc/ourisc/trunk

Subversion Repositories ourisc

[/] [ourisc/] [trunk/] [rtl/] [common/] [mux3x1.vhd] - Blame information for rev 6

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 joaocarlos
----------------------------------------------------------------------------------
2
-- Company: 
3
-- Engineer: 
4
-- 
5
-- Create Date:    15:02:04 04/19/2012 
6
-- Design Name:    Multiplexer 3 x 1
7 6 joaocarlos
-- Module Name:    mux3x1 - behavioral 
8 2 joaocarlos
-- Project Name: 
9
-- Target Devices: 
10
-- Tool versions: 
11
-- Description: 
12
--
13
-- Dependencies: 
14
--
15
-- Revision: 
16
-- Revision 0.01 - File Created
17
-- Additional Comments: 
18
--
19
----------------------------------------------------------------------------------
20
library ieee;
21
use ieee.std_logic_1164.all;
22
 
23
entity mux3x1 is
24 6 joaocarlos
        generic ( WIDTH : integer := 16 );
25
    port ( sink_a   : in  std_logic_vector (WIDTH-1 downto 0);
26
           sink_b   : in  std_logic_vector (WIDTH-1 downto 0);
27
           sink_c   : in  std_logic_vector (WIDTH-1 downto 0);
28
           sink_sel : in  std_logic_vector (1 downto 0);
29
           src_data : out  std_logic_vector (WIDTH-1 downto 0));
30 2 joaocarlos
end mux3x1;
31
 
32 6 joaocarlos
architecture behavioral of mux3x1 is
33 2 joaocarlos
begin
34 6 joaocarlos
        process(sink_sel, sink_a, sink_b, sink_c)
35 2 joaocarlos
        begin
36 6 joaocarlos
                case sink_sel is
37
                        when "00" => src_data <= sink_a;
38
                        when "01" => src_data <= sink_b;
39
                        when "10" => src_data <= sink_c;
40
                        when others => src_data <= (others => '0');
41 2 joaocarlos
                end case;
42
        end process;
43
 
44 6 joaocarlos
end behavioral;
45 2 joaocarlos
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.