OpenCores
URL https://opencores.org/ocsvn/ourisc/ourisc/trunk

Subversion Repositories ourisc

[/] [ourisc/] [trunk/] [rtl/] [packages/] [flags.vhd] - Blame information for rev 5

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 joaocarlos
--
2
--      Package File Template
3
--
4
--      Purpose: This package defines supplemental types, subtypes, 
5
--               constants, and functions 
6
--
7
--   To use any of the example code shown below, uncomment the lines and modify as necessary
8
--
9
 
10 5 joaocarlos
library ieee;
11
use ieee.std_logic_1164.all;
12 2 joaocarlos
 
13 5 joaocarlos
package flags is
14 2 joaocarlos
        constant equals :       std_logic_vector (3 downto 0) := "0001";
15
        constant above :        std_logic_vector (3 downto 0) := "0010";
16
        constant overflow :     std_logic_vector (3 downto 0) := "0100";
17
        constant error :        std_logic_vector (3 downto 0) := "1000";
18 5 joaocarlos
end flags;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.