OpenCores
URL https://opencores.org/ocsvn/pairing/pairing/trunk

Subversion Repositories pairing

[/] [pairing/] [trunk/] [testbench/] [post_route_debug.v] - Blame information for rev 20

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 20 homer.xing
`include "../rtl/inc.v"
2
/* purpose of this module is ISE post-route simulation */
3
/* if you don't use Xilinx ISE, please ignore this file :) */
4
module post_route_debug(clk, reset, x1, y1, x2, y2, done, ok);
5
    input clk, reset;
6
    input [`WIDTH:0] x1, y1, x2, y2;
7
    output done, ok;
8
 
9
    wire [`W6:0] out;
10
 
11
    tate_pairing
12
        ins1 (clk, reset, x1, y1, x2, y2, done, out);
13
 
14
    assign ok = (out == {{194'h148a60225a14a81189aa09a22848104418aa6505801246205,194'h520094820010a12551069915258a58848501052005a85609},{194'ha484046591204499252009806480198a2549624a5181695,194'h21905848428558a806805a4518844049651812a88955a8868},{194'h5565059245921805891121a95a6949564201a2a068910558,194'ha6298884510610298462582969269a122260a05a8241055a}});
15
endmodule
16
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.