OpenCores
URL https://opencores.org/ocsvn/pairing/pairing/trunk

Subversion Repositories pairing

[/] [pairing/] [trunk/] [testbench/] [test_f3m_inv.v] - Blame information for rev 7

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 homer.xing
`timescale 1ns / 1ps
2
`define CLOCK_PERIOD 10
3
module test_f3m_inv;
4
 
5
        // Inputs
6
        reg [193:0] A;
7
        reg clk;
8
        reg reset;
9
 
10
        // Outputs
11
        wire [193:0] C;
12 7 homer.xing
    wire done;
13 4 homer.xing
 
14
        // Instantiate the Unit Under Test (UUT)
15
        f3m_inv uut (
16
                .A(A),
17
                .clk(clk),
18
                .reset(reset),
19 7 homer.xing
                .C(C),
20
        .done(done)
21 4 homer.xing
        );
22
 
23
    always #`CLOCK_PERIOD clk = ~clk;
24
 
25
        initial begin
26
                // Initialize Inputs
27
                A = 0;
28
                clk = 0;
29
                reset = 0;
30
 
31
                // Wait 100 ns for global reset to finish
32
                #100;
33
 
34
                // Add stimulus here
35
        A = 32'b10_01_01_10_01_00; // A = "x";
36
        @(negedge clk); reset = 1;
37
        @(negedge clk); reset = 0;
38
        #(200*2*`CLOCK_PERIOD);
39 7 homer.xing
        if (C != 192'h65450169824811252a919a8a02964184221a1562655252a9) $display("Error!");
40 4 homer.xing
        $display("Good!"); $finish;
41
        end
42
 
43
endmodule
44
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.