OpenCores
URL https://opencores.org/ocsvn/pairing/pairing/trunk

Subversion Repositories pairing

[/] [pairing/] [trunk/] [testbench/] [test_post_route.v] - Blame information for rev 20

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 20 homer.xing
`timescale 1ns / 1ns
2
`include "../rtl/inc.v"
3
/* purpose of this module is ISE post-route simulation */
4
/* if you don't use Xilinx ISE, please ignore this file :) */
5
module test_post_route;
6
 
7
        // Inputs
8
        reg clk;
9
        reg reset;
10
        reg [`WIDTH:0] x1, y1, x2, y2;
11
 
12
        // Outputs
13
        wire done, ok;
14
 
15
        // Instantiate the Unit Under Test (UUT)
16
        post_route_debug uut (
17
                .clk(clk),
18
                .reset(reset),
19
                .x1(x1),
20
                .y1(y1),
21
                .x2(x2),
22
                .y2(y2),
23
                .done(done),
24
                .ok(ok)
25
        );
26
 
27
        initial begin
28
                // Initialize Inputs
29
                clk = 0;
30
                reset = 0;
31
                x1 = 0;
32
                y1 = 0;
33
                x2 = 0;
34
                y2 = 0;
35
 
36
                // Wait 100 ns for global reset to finish
37
                #100;
38
 
39
                // Add stimulus here
40
        x1 = 194'h6a18950064046a122a14118668466a262a91509688159890;
41
        y1 = 194'h69112569422aa0a25224aa010888066061124a8685566825;
42
        x2 = 194'h155945aa8924654812564110544995a28845901211454814;
43
        y2 = 194'h8481099460280628960a82559920000a99a2106955289a40;
44
        @ (negedge clk); reset = 1;
45
        @ (negedge clk); reset = 0;
46
        @ (posedge done); @ (negedge clk);
47
        if (ok !== 1'b1) $display("E");
48
        $finish;
49
 
50
        end
51
 
52
    always #5 clk = ~clk;
53
endmodule
54
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.