OpenCores
URL https://opencores.org/ocsvn/parallel_search_for_maximum_weight/parallel_search_for_maximum_weight/trunk

Subversion Repositories parallel_search_for_maximum_weight

[/] [parallel_search_for_maximum_weight/] [trunk/] [src/] [Result.vhd] - Blame information for rev 8

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 atalla
library ieee;
2
use ieee.std_logic_1164.all;
3
use work.basic_size.all;
4
entity Result is
5
GENERIC (Cell_count :  Natural:=5);
6
port(
7
        i1:     in std_logic_vector(Cell_count-1 downto 0);
8
        i2:     in std_logic_vector(Cell_count-1  downto 0);
9
                choose_sel : In  std_logic_vector(Cell_count-1 downto 0);
10
        o:      out std_logic_vector(Cell_count-1 downto 0 )
11
);
12
end Result;
13
architecture behav of Result  is
14
 
15
 
16
begin
17
 
18
--Result
19
 out_g : for i in 0  to Cell_count-1 generate
20
                with choose_sel(i) select
21
                     o(i) <= i1(i) when '1' , i2(i) when '0';
22
                end generate out_g;
23
 
24
 
25
end behav;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.