OpenCores
URL https://opencores.org/ocsvn/parallel_search_for_maximum_weight/parallel_search_for_maximum_weight/trunk

Subversion Repositories parallel_search_for_maximum_weight

[/] [parallel_search_for_maximum_weight/] [trunk/] [src/] [basic_component.vhd] - Blame information for rev 8

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 atalla
library ieee;
2
use ieee.std_logic_1164.all;
3
use ieee.numeric_std.all;
4
use work.basic_size.all;
5
package basic_component is
6
component parallel_find_top is
7
GENERIC (N: NATURAL := N ;  WIDTH :NATURAL := WIDTH);
8
port ( a : in WORD_ARRAY;
9
       y : out STD_LOGIC_VECTOR(WIDTH-1 DOWNTO 0)
10
         );
11
end component parallel_find_top;
12
 
13
component mux_sel is
14
GENERIC (level:INTEGER:=0; Cell_count:INTEGER:=8);
15
port(
16
        left_op:        in std_logic_vector(Cell_count-1 downto 0 );
17
        right_op:       in std_logic_vector(Cell_count-1  downto 0 );
18
        o:      out std_logic_vector(Cell_count-1 downto 0 )
19
);
20
end component mux_sel;
21
 
22
component  Ripple is
23
GENERIC (cells :  Natural:=6);
24
port(
25
        left_op :       IN std_logic_vector (cells -1  DOWNTO 0);
26
        right_op :      IN std_logic_vector (cells -1  DOWNTO 0);
27
        choose_cur : out std_logic;
28
        found_cur : out std_logic;
29
        choose_sel :    OUT std_logic_vector (cells -1  DOWNTO 0));
30
end component Ripple;
31
component Result is
32
GENERIC (Cell_count :  Natural:=6);
33
port(
34
        i1:     in std_logic_vector(Cell_count-1 downto 0);
35
        i2:     in std_logic_vector(Cell_count-1  downto 0);
36
        choose_sel : In  std_logic_vector(Cell_count-1 downto 0);
37
        o:      out std_logic_vector(Cell_count-1 downto 0 ));
38
        end component Result;
39
 
40
COMPONENT carry_cell_NOR is
41
port(
42
        a:      IN std_logic;
43
        b:      IN std_logic;
44
        choose_prev             : in std_logic;
45
        found_prev              : in std_logic;
46
        choose_cur_bar          : out std_logic;
47
        found_cur_bar           : out std_logic);
48
end COMPONENT carry_cell_NOR;
49
COMPONENT carry_cell_NAND is
50
port(
51
        a:      IN std_logic;
52
        b:      IN std_logic;
53
        choose_prev_bar         : in std_logic;
54
        found_prev_bar          : in std_logic;
55
        choose_cur              : out std_logic;
56
        found_cur               : out std_logic);
57
end COMPONENT carry_cell_NAND;
58
 
59
 
60
end package basic_component;
61
 
62
package body basic_component is
63
end package body;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.