OpenCores
URL https://opencores.org/ocsvn/parallel_search_for_maximum_weight/parallel_search_for_maximum_weight/trunk

Subversion Repositories parallel_search_for_maximum_weight

[/] [parallel_search_for_maximum_weight/] [trunk/] [src/] [carry_cell_NAND.vhd] - Blame information for rev 8

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 atalla
library ieee;
2
use ieee.std_logic_1164.all;
3
use work.basic_size.all;
4
entity carry_cell_NAND is
5
port(
6
        a:      IN std_logic;
7
        b:      IN std_logic;
8
        choose_prev_bar : in std_logic;
9
        found_prev_bar          : in std_logic;
10
        choose_cur              : out std_logic;
11
        found_cur               : out std_logic
12
);
13
end carry_cell_NAND;
14
architecture behav of carry_cell_NAND  is
15
 
16
SIGNAL  found:            std_logic;
17
SIGNAL  choose:           std_logic;
18
SIGNAL  gci:              std_logic;
19
SIGNAL  gfi:              std_logic;
20
begin
21
                        gci             <=  (NOT a) NOR b;
22
                        gfi             <=   ( a XNOR b);
23
                        choose_cur      <=  choose_prev_bar NAND (found_prev_bar NAND gci);
24
                        found_cur       <=  found_prev_bar NAND gfi;
25
end behav;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.