OpenCores
URL https://opencores.org/ocsvn/parallel_search_for_maximum_weight/parallel_search_for_maximum_weight/trunk

Subversion Repositories parallel_search_for_maximum_weight

[/] [parallel_search_for_maximum_weight/] [trunk/] [src/] [carry_cell_NOR.vhd] - Blame information for rev 8

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 atalla
library ieee;
2
use ieee.std_logic_1164.all;
3
use work.basic_size.all;
4
entity carry_cell_NOR is
5
port(
6
        a:      IN std_logic;
7
        b:      IN std_logic;
8
        choose_prev     : in std_logic;
9
        found_prev              : in std_logic;
10
        choose_cur_bar          : out std_logic;
11
        found_cur_bar           : out std_logic
12
);
13
end carry_cell_NOR;
14
architecture behav of carry_cell_NOR  is
15
 
16
SIGNAL  found:            std_logic;
17
SIGNAL  choose:           std_logic;
18
SIGNAL  gci:              std_logic;
19
SIGNAL  gfi:              std_logic;
20
begin
21
                        gci             <=  (NOT b) NAND a;
22
                        gfi             <=  ( a XOR b);
23
                        choose_cur_bar<=  choose_prev NOR (found_prev NOR gci);
24
                        found_cur_bar           <=  ( (found_prev) NOR gfi);
25
end behav;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.