OpenCores
URL https://opencores.org/ocsvn/pci/pci/trunk

Subversion Repositories pci

[/] [pci/] [tags/] [rel_00/] [rtl/] [verilog/] [pci_tpram.v] - Blame information for rev 154

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 18 mihad
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  Generic Two-Port Synchronous RAM                            ////
4
////                                                              ////
5
////  This file is part of pci bridge project                     ////
6
////  http://www.opencores.org/cvsweb.shtml/pci/                  ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  This block is a wrapper with common two-port                ////
10
////  synchronous memory interface for different                  ////
11
////  types of ASIC and FPGA RAMs. Beside universal memory        ////
12
////  interface it also provides behavioral model of generic      ////
13
////  two-port synchronous RAM.                                   ////
14
////  It should be used in all OPENCORES designs that want to be  ////
15
////  portable accross different target technologies and          ////
16
////  independent of target memory.                               ////
17
////                                                              ////
18
////  Supported ASIC RAMs are:                                    ////
19
////  - Artisan Double-Port Sync RAM                              ////
20
////  - Avant! Two-Port Sync RAM (*)                              ////
21
////  - Virage 2-port Sync RAM                                    ////
22
////                                                              ////
23
////  Supported FPGA RAMs are:                                    ////
24
////  - Xilinx Virtex RAMB4_S16_S16                               ////
25
////                                                              ////
26
////  To Do:                                                      ////
27
////   - fix Avant!                                               ////
28
////   - xilinx rams need external tri-state logic                ////
29
////   - add additional RAMs (Altera, VS etc)                     ////
30
////                                                              ////
31
////  Author(s):                                                  ////
32
////      - Damjan Lampret, lampret@opencores.org                 ////
33
////      - Miha Dolenc, mihad@opencores.org                      ////
34
////                                                              ////
35
//////////////////////////////////////////////////////////////////////
36
////                                                              ////
37
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
38
////                                                              ////
39
//// This source file may be used and distributed without         ////
40
//// restriction provided that this copyright statement is not    ////
41
//// removed from the file and that any derivative work contains  ////
42
//// the original copyright notice and the associated disclaimer. ////
43
////                                                              ////
44
//// This source file is free software; you can redistribute it   ////
45
//// and/or modify it under the terms of the GNU Lesser General   ////
46
//// Public License as published by the Free Software Foundation; ////
47
//// either version 2.1 of the License, or (at your option) any   ////
48
//// later version.                                               ////
49
////                                                              ////
50
//// This source is distributed in the hope that it will be       ////
51
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
52
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
53
//// PURPOSE.  See the GNU Lesser General Public License for more ////
54
//// details.                                                     ////
55
////                                                              ////
56
//// You should have received a copy of the GNU Lesser General    ////
57
//// Public License along with this source; if not, download it   ////
58
//// from http://www.opencores.org/lgpl.shtml                     ////
59
////                                                              ////
60
//////////////////////////////////////////////////////////////////////
61
//
62
// CVS Revision History
63
//
64
// $Log: not supported by cvs2svn $
65
//
66
 
67
// synopsys translate_off
68
`include "timescale.v"
69
// synopsys translate_on
70
`include "pci_constants.v"
71
 
72
module PCI_TPRAM
73
(
74
        // Generic synchronous two-port RAM interface
75
        clk_a,
76
    rst_a,
77
    ce_a,
78
    we_a,
79
    oe_a,
80
    addr_a,
81
    di_a,
82
    do_a,
83
        clk_b,
84
    rst_b,
85
    ce_b,
86
    we_b,
87
    oe_b,
88
    addr_b,
89
    di_b,
90
    do_b
91
);
92
 
93
//
94
// Default address and data buses width
95
//
96
parameter aw = 8;
97
parameter dw = 40;
98
 
99
//
100
// Generic synchronous two-port RAM interface
101
//
102
input                   clk_a;  // Clock
103
input                   rst_a;  // Reset
104
input                   ce_a;   // Chip enable input
105
input                   we_a;   // Write enable input
106
input                   oe_a;   // Output enable input
107
input   [aw-1:0] addr_a; // address bus inputs
108
input   [dw-1:0] di_a;   // input data bus
109
output  [dw-1:0] do_a;   // output data bus
110
input                   clk_b;  // Clock
111
input                   rst_b;  // Reset
112
input                   ce_b;   // Chip enable input
113
input                   we_b;   // Write enable input
114
input                   oe_b;   // Output enable input
115
input   [aw-1:0] addr_b; // address bus inputs
116
input   [dw-1:0] di_b;   // input data bus
117
output  [dw-1:0] do_b;   // output data bus
118
 
119
//
120
// Internal wires and registers
121
//
122
 
123
 
124
`ifdef PCI_ARTISAN_SDP
125
    `define RAM_SELECTED
126
    //
127
    // Instantiation of ASIC memory:
128
    //
129
    // Artisan Synchronous Double-Port RAM (ra2sh)
130
    //
131
    art_hsdp_256x40 /*#(dw, 1<<aw, aw) */ artisan_sdp
132
    (
133
        .qa(do_a),
134
        .clka(clk_a),
135
        .cena(~ce_a),
136
        .wena(~we_a),
137
        .aa(addr_a),
138
        .da(di_a),
139
        .oena(~oe_a),
140
        .qb(do_b),
141
        .clkb(clk_b),
142
        .cenb(~ce_b),
143
        .wenb(~we_b),
144
        .ab(addr_b),
145
        .db(di_b),
146
        .oenb(~oe_b)
147
    );
148
`endif
149
 
150
`ifdef AVANT_ATP
151
    `define RAM_SELECTED
152
    //
153
    // Instantiation of ASIC memory:
154
    //
155
    // Avant! Asynchronous Two-Port RAM
156
    //
157
    avant_atp avant_atp(
158
        .web(~we),
159
        .reb(),
160
        .oeb(~oe),
161
        .rcsb(),
162
        .wcsb(),
163
        .ra(addr),
164
        .wa(addr),
165
        .di(di),
166
        .do(do)
167
    );
168
`endif
169
 
170
`ifdef VIRAGE_STP
171
    `define RAM_SELECTED
172
    //
173
    // Instantiation of ASIC memory:
174
    //
175
    // Virage Synchronous 2-port R/W RAM
176
    //
177
    virage_stp virage_stp(
178
        .QA(do_a),
179
        .QB(do_b),
180
 
181
        .ADRA(addr_a),
182
        .DA(di_a),
183
        .WEA(we_a),
184
        .OEA(oe_a),
185
        .MEA(ce_a),
186
        .CLKA(clk_a),
187
 
188
        .ADRB(adr_b),
189
        .DB(di_b),
190
        .WEB(we_b),
191
        .OEB(oe_b),
192
        .MEB(ce_b),
193
        .CLKB(clk_b)
194
    );
195
`endif
196
 
197
`ifdef PCI_XILINX_RAMB4
198
    `define RAM_SELECTED
199
    //
200
    // Instantiation of FPGA memory:
201
    //
202
    // Virtex/Spartan2
203
    //
204
 
205
    //
206
    // Block 0
207
    //
208
 
209
    RAMB4_S16_S16 ramb4_s16_s16_0(
210
        .CLKA(clk_a),
211
        .RSTA(rst_a),
212
        .ADDRA(addr_a),
213
        .DIA(di_a[15:0]),
214
        .ENA(ce_a),
215
        .WEA(we_a),
216
        .DOA(do_a[15:0]),
217
 
218
        .CLKB(clk_b),
219
        .RSTB(rst_b),
220
        .ADDRB(addr_b),
221
        .DIB(di_b[15:0]),
222
        .ENB(ce_b),
223
        .WEB(we_b),
224
        .DOB(do_b[15:0])
225
    );
226
 
227
    //
228
    // Block 1
229
    //
230
 
231
    RAMB4_S16_S16 ramb4_s16_s16_1(
232
        .CLKA(clk_a),
233
        .RSTA(rst_a),
234
        .ADDRA(addr_a),
235
        .DIA(di_a[31:16]),
236
        .ENA(ce_a),
237
        .WEA(we_a),
238
        .DOA(do_a[31:16]),
239
 
240
        .CLKB(clk_b),
241
        .RSTB(rst_b),
242
        .ADDRB(addr_b),
243
        .DIB(di_b[31:16]),
244
        .ENB(ce_b),
245
        .WEB(we_b),
246
        .DOB(do_b[31:16])
247
    );
248
 
249
    //
250
    // Block 2
251
    //
252
    // block ram2 wires - non generic width of block rams
253
    wire [15:0] blk2_di_a = {8'h00, di_a[39:32]} ;
254
    wire [15:0] blk2_di_b = {8'h00, di_b[39:32]} ;
255
 
256
    wire [15:0] blk2_do_a ;
257
    wire [15:0] blk2_do_b ;
258
 
259
    assign do_a[39:32] = blk2_do_a[7:0] ;
260
    assign do_b[39:32] = blk2_do_b[7:0] ;
261
 
262
    RAMB4_S16_S16 ramb4_s16_s16_2(
263
            .CLKA(clk_a),
264
            .RSTA(rst_a),
265
            .ADDRA(addr_a),
266
            .DIA(blk2_di_a),
267
            .ENA(ce_a),
268
            .WEA(we_a),
269
            .DOA(blk2_do_a),
270
 
271
            .CLKB(clk_b),
272
            .RSTB(rst_b),
273
            .ADDRB(addr_b),
274
            .DIB(blk2_di_b),
275
            .ENB(ce_b),
276
            .WEB(we_b),
277
            .DOB(blk2_do_b)
278
    );
279
 
280
`endif
281
 
282
`ifdef PCI_XILINX_DIST_RAM
283
    `define RAM_SELECTED
284
    reg [(aw-1):0] out_address ;
285
    always@(posedge clk_b or posedge rst_b)
286
    begin
287
        if ( rst_b )
288
            out_address <= #1 0 ;
289
        else if (ce_b)
290
            out_address <= #1 addr_b ;
291
    end
292
 
293
    PCI_DIST_RAM #(aw) pci_distributed_ram
294
    (
295
        .data_out       (do_b),
296
        .we             (we_a),
297
        .data_in        (di_a),
298
        .read_address   (out_address),
299
        .write_address  (addr_a),
300
        .wclk           (clk_a)
301
    );
302
`endif
303
 
304
`ifdef RAM_SELECTED
305
    `undef RAM_SELECTED
306
`else
307
    //
308
    // Generic two-port synchronous RAM model
309
    //
310
 
311
    //
312
    // Generic RAM's registers and wires
313
    //
314
    reg [dw-1:0] mem [(1<<aw)-1:0];       // RAM content
315
    reg [dw-1:0] do_reg_a;               // RAM data output register
316
    reg [dw-1:0] do_reg_b;               // RAM data output register
317
 
318
    //
319
    // Data output drivers
320
    //
321
    assign do_a = (oe_a) ? do_reg_a : {dw{1'bz}};
322
    assign do_b = (oe_b) ? do_reg_b : {dw{1'bz}};
323
 
324
    //
325
    // RAM read and write
326
    //
327
    always @(posedge clk_a)
328
        if (ce_a && !we_a)
329
                do_reg_a <= #1 mem[addr_a];
330
        else if (ce_a && we_a)
331
                mem[addr_a] <= #1 di_a;
332
 
333
    //
334
    // RAM read and write
335
    //
336
    always @(posedge clk_b)
337
        if (ce_b && !we_b)
338
                do_reg_b <= #1 mem[addr_b];
339
        else if (ce_b && we_b)
340
                mem[addr_b] <= #1 di_b;
341
`endif
342
 
343
// synopsys translate_off
344
initial
345
begin
346
    if (dw !== 40)
347
    begin
348
        $display("RAM instantiation error! Expected RAM width %d, actual %h!", 40, dw) ;
349
        $finish ;
350
    end
351
    `ifdef XILINX_RAMB4
352
        if (aw !== 8)
353
        begin
354
            $display("RAM instantiation error! Expected RAM address width %d, actual %h!", 40, aw) ;
355
            $finish ;
356
        end
357
    `endif
358
    // currenlty only artisan ram of depth 256 is supported - they don't provide generic ram models
359
    `ifdef ARTISAN_SDP
360
        if (aw !== 8)
361
        begin
362
            $display("RAM instantiation error! Expected RAM address width %d, actual %h!", 40, aw) ;
363
            $finish ;
364
        end
365
    `endif
366
end
367
// synopsys translate_on
368
 
369
endmodule
370
 
371
`ifdef PCI_XILINX_DIST_RAM
372
module PCI_DIST_RAM (data_out, we, data_in, read_address, write_address, wclk);
373
    parameter addr_width = 4 ;
374
    output [39:0] data_out;
375
    input we, wclk;
376
    input [39:0] data_in;
377
    input [addr_width - 1:0] write_address, read_address;
378
 
379
    wire [3:0] waddr = write_address ;
380
    wire [3:0] raddr = read_address ;
381
 
382
    RAM16X1D ram00 (.DPO(data_out[0]),  .SPO(), .A0(waddr[0]), .A1(waddr[1]), .A2(waddr[2]), .A3(waddr[3]), .D(data_in[0]),  .DPRA0(raddr[0]), .DPRA1(raddr[1]), .DPRA2(raddr[2]), .DPRA3(raddr[3]), .WCLK(wclk), .WE(we));
383
    RAM16X1D ram01 (.DPO(data_out[1]),  .SPO(), .A0(waddr[0]), .A1(waddr[1]), .A2(waddr[2]), .A3(waddr[3]), .D(data_in[1]),  .DPRA0(raddr[0]), .DPRA1(raddr[1]), .DPRA2(raddr[2]), .DPRA3(raddr[3]), .WCLK(wclk), .WE(we));
384
    RAM16X1D ram02 (.DPO(data_out[2]),  .SPO(), .A0(waddr[0]), .A1(waddr[1]), .A2(waddr[2]), .A3(waddr[3]), .D(data_in[2]),  .DPRA0(raddr[0]), .DPRA1(raddr[1]), .DPRA2(raddr[2]), .DPRA3(raddr[3]), .WCLK(wclk), .WE(we));
385
    RAM16X1D ram03 (.DPO(data_out[3]),  .SPO(), .A0(waddr[0]), .A1(waddr[1]), .A2(waddr[2]), .A3(waddr[3]), .D(data_in[3]),  .DPRA0(raddr[0]), .DPRA1(raddr[1]), .DPRA2(raddr[2]), .DPRA3(raddr[3]), .WCLK(wclk), .WE(we));
386
    RAM16X1D ram04 (.DPO(data_out[4]),  .SPO(), .A0(waddr[0]), .A1(waddr[1]), .A2(waddr[2]), .A3(waddr[3]), .D(data_in[4]),  .DPRA0(raddr[0]), .DPRA1(raddr[1]), .DPRA2(raddr[2]), .DPRA3(raddr[3]), .WCLK(wclk), .WE(we));
387
    RAM16X1D ram05 (.DPO(data_out[5]),  .SPO(), .A0(waddr[0]), .A1(waddr[1]), .A2(waddr[2]), .A3(waddr[3]), .D(data_in[5]),  .DPRA0(raddr[0]), .DPRA1(raddr[1]), .DPRA2(raddr[2]), .DPRA3(raddr[3]), .WCLK(wclk), .WE(we));
388
    RAM16X1D ram06 (.DPO(data_out[6]),  .SPO(), .A0(waddr[0]), .A1(waddr[1]), .A2(waddr[2]), .A3(waddr[3]), .D(data_in[6]),  .DPRA0(raddr[0]), .DPRA1(raddr[1]), .DPRA2(raddr[2]), .DPRA3(raddr[3]), .WCLK(wclk), .WE(we));
389
    RAM16X1D ram07 (.DPO(data_out[7]),  .SPO(), .A0(waddr[0]), .A1(waddr[1]), .A2(waddr[2]), .A3(waddr[3]), .D(data_in[7]),  .DPRA0(raddr[0]), .DPRA1(raddr[1]), .DPRA2(raddr[2]), .DPRA3(raddr[3]), .WCLK(wclk), .WE(we));
390
    RAM16X1D ram08 (.DPO(data_out[8]),  .SPO(), .A0(waddr[0]), .A1(waddr[1]), .A2(waddr[2]), .A3(waddr[3]), .D(data_in[8]),  .DPRA0(raddr[0]), .DPRA1(raddr[1]), .DPRA2(raddr[2]), .DPRA3(raddr[3]), .WCLK(wclk), .WE(we));
391
    RAM16X1D ram09 (.DPO(data_out[9]),  .SPO(), .A0(waddr[0]), .A1(waddr[1]), .A2(waddr[2]), .A3(waddr[3]), .D(data_in[9]),  .DPRA0(raddr[0]), .DPRA1(raddr[1]), .DPRA2(raddr[2]), .DPRA3(raddr[3]), .WCLK(wclk), .WE(we));
392
    RAM16X1D ram10 (.DPO(data_out[10]), .SPO(), .A0(waddr[0]), .A1(waddr[1]), .A2(waddr[2]), .A3(waddr[3]), .D(data_in[10]), .DPRA0(raddr[0]), .DPRA1(raddr[1]), .DPRA2(raddr[2]), .DPRA3(raddr[3]), .WCLK(wclk), .WE(we));
393
    RAM16X1D ram11 (.DPO(data_out[11]), .SPO(), .A0(waddr[0]), .A1(waddr[1]), .A2(waddr[2]), .A3(waddr[3]), .D(data_in[11]), .DPRA0(raddr[0]), .DPRA1(raddr[1]), .DPRA2(raddr[2]), .DPRA3(raddr[3]), .WCLK(wclk), .WE(we));
394
    RAM16X1D ram12 (.DPO(data_out[12]), .SPO(), .A0(waddr[0]), .A1(waddr[1]), .A2(waddr[2]), .A3(waddr[3]), .D(data_in[12]), .DPRA0(raddr[0]), .DPRA1(raddr[1]), .DPRA2(raddr[2]), .DPRA3(raddr[3]), .WCLK(wclk), .WE(we));
395
    RAM16X1D ram13 (.DPO(data_out[13]), .SPO(), .A0(waddr[0]), .A1(waddr[1]), .A2(waddr[2]), .A3(waddr[3]), .D(data_in[13]), .DPRA0(raddr[0]), .DPRA1(raddr[1]), .DPRA2(raddr[2]), .DPRA3(raddr[3]), .WCLK(wclk), .WE(we));
396
    RAM16X1D ram14 (.DPO(data_out[14]), .SPO(), .A0(waddr[0]), .A1(waddr[1]), .A2(waddr[2]), .A3(waddr[3]), .D(data_in[14]), .DPRA0(raddr[0]), .DPRA1(raddr[1]), .DPRA2(raddr[2]), .DPRA3(raddr[3]), .WCLK(wclk), .WE(we));
397
    RAM16X1D ram15 (.DPO(data_out[15]), .SPO(), .A0(waddr[0]), .A1(waddr[1]), .A2(waddr[2]), .A3(waddr[3]), .D(data_in[15]), .DPRA0(raddr[0]), .DPRA1(raddr[1]), .DPRA2(raddr[2]), .DPRA3(raddr[3]), .WCLK(wclk), .WE(we));
398
    RAM16X1D ram16 (.DPO(data_out[16]), .SPO(), .A0(waddr[0]), .A1(waddr[1]), .A2(waddr[2]), .A3(waddr[3]), .D(data_in[16]), .DPRA0(raddr[0]), .DPRA1(raddr[1]), .DPRA2(raddr[2]), .DPRA3(raddr[3]), .WCLK(wclk), .WE(we));
399
    RAM16X1D ram17 (.DPO(data_out[17]), .SPO(), .A0(waddr[0]), .A1(waddr[1]), .A2(waddr[2]), .A3(waddr[3]), .D(data_in[17]), .DPRA0(raddr[0]), .DPRA1(raddr[1]), .DPRA2(raddr[2]), .DPRA3(raddr[3]), .WCLK(wclk), .WE(we));
400
    RAM16X1D ram18 (.DPO(data_out[18]), .SPO(), .A0(waddr[0]), .A1(waddr[1]), .A2(waddr[2]), .A3(waddr[3]), .D(data_in[18]), .DPRA0(raddr[0]), .DPRA1(raddr[1]), .DPRA2(raddr[2]), .DPRA3(raddr[3]), .WCLK(wclk), .WE(we));
401
    RAM16X1D ram19 (.DPO(data_out[19]), .SPO(), .A0(waddr[0]), .A1(waddr[1]), .A2(waddr[2]), .A3(waddr[3]), .D(data_in[19]), .DPRA0(raddr[0]), .DPRA1(raddr[1]), .DPRA2(raddr[2]), .DPRA3(raddr[3]), .WCLK(wclk), .WE(we));
402
    RAM16X1D ram20 (.DPO(data_out[20]), .SPO(), .A0(waddr[0]), .A1(waddr[1]), .A2(waddr[2]), .A3(waddr[3]), .D(data_in[20]), .DPRA0(raddr[0]), .DPRA1(raddr[1]), .DPRA2(raddr[2]), .DPRA3(raddr[3]), .WCLK(wclk), .WE(we));
403
    RAM16X1D ram21 (.DPO(data_out[21]), .SPO(), .A0(waddr[0]), .A1(waddr[1]), .A2(waddr[2]), .A3(waddr[3]), .D(data_in[21]), .DPRA0(raddr[0]), .DPRA1(raddr[1]), .DPRA2(raddr[2]), .DPRA3(raddr[3]), .WCLK(wclk), .WE(we));
404
    RAM16X1D ram22 (.DPO(data_out[22]), .SPO(), .A0(waddr[0]), .A1(waddr[1]), .A2(waddr[2]), .A3(waddr[3]), .D(data_in[22]), .DPRA0(raddr[0]), .DPRA1(raddr[1]), .DPRA2(raddr[2]), .DPRA3(raddr[3]), .WCLK(wclk), .WE(we));
405
    RAM16X1D ram23 (.DPO(data_out[23]), .SPO(), .A0(waddr[0]), .A1(waddr[1]), .A2(waddr[2]), .A3(waddr[3]), .D(data_in[23]), .DPRA0(raddr[0]), .DPRA1(raddr[1]), .DPRA2(raddr[2]), .DPRA3(raddr[3]), .WCLK(wclk), .WE(we));
406
    RAM16X1D ram24 (.DPO(data_out[24]), .SPO(), .A0(waddr[0]), .A1(waddr[1]), .A2(waddr[2]), .A3(waddr[3]), .D(data_in[24]), .DPRA0(raddr[0]), .DPRA1(raddr[1]), .DPRA2(raddr[2]), .DPRA3(raddr[3]), .WCLK(wclk), .WE(we));
407
    RAM16X1D ram25 (.DPO(data_out[25]), .SPO(), .A0(waddr[0]), .A1(waddr[1]), .A2(waddr[2]), .A3(waddr[3]), .D(data_in[25]), .DPRA0(raddr[0]), .DPRA1(raddr[1]), .DPRA2(raddr[2]), .DPRA3(raddr[3]), .WCLK(wclk), .WE(we));
408
    RAM16X1D ram26 (.DPO(data_out[26]), .SPO(), .A0(waddr[0]), .A1(waddr[1]), .A2(waddr[2]), .A3(waddr[3]), .D(data_in[26]), .DPRA0(raddr[0]), .DPRA1(raddr[1]), .DPRA2(raddr[2]), .DPRA3(raddr[3]), .WCLK(wclk), .WE(we));
409
    RAM16X1D ram27 (.DPO(data_out[27]), .SPO(), .A0(waddr[0]), .A1(waddr[1]), .A2(waddr[2]), .A3(waddr[3]), .D(data_in[27]), .DPRA0(raddr[0]), .DPRA1(raddr[1]), .DPRA2(raddr[2]), .DPRA3(raddr[3]), .WCLK(wclk), .WE(we));
410
    RAM16X1D ram28 (.DPO(data_out[28]), .SPO(), .A0(waddr[0]), .A1(waddr[1]), .A2(waddr[2]), .A3(waddr[3]), .D(data_in[28]), .DPRA0(raddr[0]), .DPRA1(raddr[1]), .DPRA2(raddr[2]), .DPRA3(raddr[3]), .WCLK(wclk), .WE(we));
411
    RAM16X1D ram29 (.DPO(data_out[29]), .SPO(), .A0(waddr[0]), .A1(waddr[1]), .A2(waddr[2]), .A3(waddr[3]), .D(data_in[29]), .DPRA0(raddr[0]), .DPRA1(raddr[1]), .DPRA2(raddr[2]), .DPRA3(raddr[3]), .WCLK(wclk), .WE(we));
412
    RAM16X1D ram30 (.DPO(data_out[30]), .SPO(), .A0(waddr[0]), .A1(waddr[1]), .A2(waddr[2]), .A3(waddr[3]), .D(data_in[30]), .DPRA0(raddr[0]), .DPRA1(raddr[1]), .DPRA2(raddr[2]), .DPRA3(raddr[3]), .WCLK(wclk), .WE(we));
413
    RAM16X1D ram31 (.DPO(data_out[31]), .SPO(), .A0(waddr[0]), .A1(waddr[1]), .A2(waddr[2]), .A3(waddr[3]), .D(data_in[31]), .DPRA0(raddr[0]), .DPRA1(raddr[1]), .DPRA2(raddr[2]), .DPRA3(raddr[3]), .WCLK(wclk), .WE(we));
414
    RAM16X1D ram32 (.DPO(data_out[32]), .SPO(), .A0(waddr[0]), .A1(waddr[1]), .A2(waddr[2]), .A3(waddr[3]), .D(data_in[32]), .DPRA0(raddr[0]), .DPRA1(raddr[1]), .DPRA2(raddr[2]), .DPRA3(raddr[3]), .WCLK(wclk), .WE(we));
415
    RAM16X1D ram33 (.DPO(data_out[33]), .SPO(), .A0(waddr[0]), .A1(waddr[1]), .A2(waddr[2]), .A3(waddr[3]), .D(data_in[33]), .DPRA0(raddr[0]), .DPRA1(raddr[1]), .DPRA2(raddr[2]), .DPRA3(raddr[3]), .WCLK(wclk), .WE(we));
416
    RAM16X1D ram34 (.DPO(data_out[34]), .SPO(), .A0(waddr[0]), .A1(waddr[1]), .A2(waddr[2]), .A3(waddr[3]), .D(data_in[34]), .DPRA0(raddr[0]), .DPRA1(raddr[1]), .DPRA2(raddr[2]), .DPRA3(raddr[3]), .WCLK(wclk), .WE(we));
417
    RAM16X1D ram35 (.DPO(data_out[35]), .SPO(), .A0(waddr[0]), .A1(waddr[1]), .A2(waddr[2]), .A3(waddr[3]), .D(data_in[35]), .DPRA0(raddr[0]), .DPRA1(raddr[1]), .DPRA2(raddr[2]), .DPRA3(raddr[3]), .WCLK(wclk), .WE(we));
418
    RAM16X1D ram36 (.DPO(data_out[36]), .SPO(), .A0(waddr[0]), .A1(waddr[1]), .A2(waddr[2]), .A3(waddr[3]), .D(data_in[36]), .DPRA0(raddr[0]), .DPRA1(raddr[1]), .DPRA2(raddr[2]), .DPRA3(raddr[3]), .WCLK(wclk), .WE(we));
419
    RAM16X1D ram37 (.DPO(data_out[37]), .SPO(), .A0(waddr[0]), .A1(waddr[1]), .A2(waddr[2]), .A3(waddr[3]), .D(data_in[37]), .DPRA0(raddr[0]), .DPRA1(raddr[1]), .DPRA2(raddr[2]), .DPRA3(raddr[3]), .WCLK(wclk), .WE(we));
420
    RAM16X1D ram38 (.DPO(data_out[38]), .SPO(), .A0(waddr[0]), .A1(waddr[1]), .A2(waddr[2]), .A3(waddr[3]), .D(data_in[38]), .DPRA0(raddr[0]), .DPRA1(raddr[1]), .DPRA2(raddr[2]), .DPRA3(raddr[3]), .WCLK(wclk), .WE(we));
421
    RAM16X1D ram39 (.DPO(data_out[39]), .SPO(), .A0(waddr[0]), .A1(waddr[1]), .A2(waddr[2]), .A3(waddr[3]), .D(data_in[39]), .DPRA0(raddr[0]), .DPRA1(raddr[1]), .DPRA2(raddr[2]), .DPRA3(raddr[3]), .WCLK(wclk), .WE(we));
422
endmodule
423
`endif

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.