OpenCores
URL https://opencores.org/ocsvn/pci/pci/trunk

Subversion Repositories pci

[/] [pci/] [tags/] [rel_10/] [rtl/] [verilog/] [pci_wb_slave_unit.v] - Blame information for rev 154

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 77 mihad
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  File name "wb_slave_unit.v"                                 ////
4
////                                                              ////
5
////  This file is part of the "PCI bridge" project               ////
6
////  http://www.opencores.org/cores/pci/                         ////
7
////                                                              ////
8
////  Author(s):                                                  ////
9
////      - Miha Dolenc (mihad@opencores.org)                     ////
10
////                                                              ////
11
////  All additional information is avaliable in the README       ////
12
////  file.                                                       ////
13
////                                                              ////
14
////                                                              ////
15
//////////////////////////////////////////////////////////////////////
16
////                                                              ////
17
//// Copyright (C) 2001 Miha Dolenc, mihad@opencores.org          ////
18
////                                                              ////
19
//// This source file may be used and distributed without         ////
20
//// restriction provided that this copyright statement is not    ////
21
//// removed from the file and that any derivative work contains  ////
22
//// the original copyright notice and the associated disclaimer. ////
23
////                                                              ////
24
//// This source file is free software; you can redistribute it   ////
25
//// and/or modify it under the terms of the GNU Lesser General   ////
26
//// Public License as published by the Free Software Foundation; ////
27
//// either version 2.1 of the License, or (at your option) any   ////
28
//// later version.                                               ////
29
////                                                              ////
30
//// This source is distributed in the hope that it will be       ////
31
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
32
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
33
//// PURPOSE.  See the GNU Lesser General Public License for more ////
34
//// details.                                                     ////
35
////                                                              ////
36
//// You should have received a copy of the GNU Lesser General    ////
37
//// Public License along with this source; if not, download it   ////
38
//// from http://www.opencores.org/lgpl.shtml                     ////
39
////                                                              ////
40
//////////////////////////////////////////////////////////////////////
41
//
42
// CVS Revision History
43
//
44
// $Log: not supported by cvs2svn $
45 122 markom
// Revision 1.1  2003/01/27 16:49:31  mihad
46
// Changed module and file names. Updated scripts accordingly. FIFO synchronizations changed.
47
//
48 77 mihad
// Revision 1.8  2002/10/18 03:36:37  tadejm
49 122 markom
// Changed wrong signal name mbist_sen into mbist_ctrl_i.
50 77 mihad
//
51
// Revision 1.7  2002/10/17 22:49:22  tadejm
52
// Changed BIST signals for RAMs.
53
//
54
// Revision 1.6  2002/10/11 10:09:01  mihad
55
// Added additional testcase and changed rst name in BIST to trst
56
//
57
// Revision 1.5  2002/10/08 17:17:06  mihad
58
// Added BIST signals for RAMs.
59
//
60
// Revision 1.4  2002/09/25 15:53:52  mihad
61
// Removed all logic from asynchronous reset network
62
//
63
// Revision 1.3  2002/02/01 15:25:13  mihad
64
// Repaired a few bugs, updated specification, added test bench files and design document
65
//
66
// Revision 1.2  2001/10/05 08:14:30  mihad
67
// Updated all files with inclusion of timescale file for simulation purposes.
68
//
69
// Revision 1.1.1.1  2001/10/02 15:33:46  mihad
70
// New project directory structure
71
//
72
//
73
 
74
// Module instantiates and connects other modules lower in hierarcy
75
// Wishbone slave unit consists of modules that together form datapath
76
// between external WISHBONE masters and external PCI targets
77
`include "pci_constants.v"
78
 
79
// synopsys translate_off
80
`include "timescale.v"
81
// synopsys translate_on
82
 
83
module pci_wb_slave_unit
84
(
85
    reset_in,
86
    wb_clock_in,
87
    pci_clock_in,
88
    ADDR_I,
89
    SDATA_I,
90
    SDATA_O,
91
    CYC_I,
92
    STB_I,
93
    WE_I,
94
    SEL_I,
95
    ACK_O,
96
    RTY_O,
97
    ERR_O,
98
    CAB_I,
99
    wbu_map_in,
100
    wbu_pref_en_in,
101
    wbu_mrl_en_in,
102
    wbu_pci_drcomp_pending_in,
103
    wbu_conf_data_in,
104
    wbu_pciw_empty_in,
105
    wbu_bar0_in,
106
    wbu_bar1_in,
107
    wbu_bar2_in,
108
    wbu_bar3_in,
109
    wbu_bar4_in,
110
    wbu_bar5_in,
111
    wbu_am0_in,
112
    wbu_am1_in,
113
    wbu_am2_in,
114
    wbu_am3_in,
115
    wbu_am4_in,
116
    wbu_am5_in,
117
    wbu_ta0_in,
118
    wbu_ta1_in,
119
    wbu_ta2_in,
120
    wbu_ta3_in,
121
    wbu_ta4_in,
122
    wbu_ta5_in,
123
    wbu_at_en_in,
124
    wbu_ccyc_addr_in ,
125
    wbu_master_enable_in,
126
    wbu_cache_line_size_not_zero,
127
    wbu_cache_line_size_in,
128
    wbu_pciif_gnt_in,
129
    wbu_pciif_frame_in,
130
    wbu_pciif_irdy_in,
131
    wbu_pciif_trdy_in,
132
    wbu_pciif_trdy_reg_in,
133
    wbu_pciif_stop_in,
134
    wbu_pciif_stop_reg_in,
135
    wbu_pciif_devsel_in,
136
    wbu_pciif_devsel_reg_in,
137
    wbu_pciif_ad_reg_in,
138
    wbu_pciif_req_out,
139
    wbu_pciif_frame_out,
140
    wbu_pciif_frame_en_out,
141
    wbu_pciif_frame_en_in,
142
    wbu_pciif_frame_out_in,
143
    wbu_pciif_frame_load_out,
144
    wbu_pciif_irdy_out,
145
    wbu_pciif_irdy_en_out,
146
    wbu_pciif_ad_out,
147
    wbu_pciif_ad_en_out,
148
    wbu_pciif_cbe_out,
149
    wbu_pciif_cbe_en_out,
150
    wbu_err_addr_out,
151
    wbu_err_bc_out,
152
    wbu_err_signal_out,
153
    wbu_err_source_out,
154
    wbu_err_rty_exp_out,
155
    wbu_tabort_rec_out,
156
    wbu_mabort_rec_out,
157
    wbu_conf_offset_out,
158
    wbu_conf_renable_out,
159
    wbu_conf_wenable_out,
160
    wbu_conf_be_out,
161
    wbu_conf_data_out,
162
    wbu_del_read_comp_pending_out,
163
    wbu_wbw_fifo_empty_out,
164
    wbu_latency_tim_val_in,
165
    wbu_ad_load_out,
166
    wbu_ad_load_on_transfer_out
167
 
168
`ifdef PCI_BIST
169
    ,
170
    // debug chain signals
171 122 markom
    mbist_si_i,       // bist scan serial in
172
    mbist_so_o,       // bist scan serial out
173
    mbist_ctrl_i        // bist chain shift control
174 77 mihad
`endif
175
);
176
 
177
input reset_in,
178
      wb_clock_in,
179
      pci_clock_in ;
180
 
181
input   [31:0]  ADDR_I   ;
182
input   [31:0]  SDATA_I  ;
183
output  [31:0]  SDATA_O  ;
184
input           CYC_I    ;
185
input           STB_I    ;
186
input           WE_I     ;
187
input   [3:0]   SEL_I    ;
188
output          ACK_O    ;
189
output          RTY_O    ;
190
output          ERR_O    ;
191
input           CAB_I    ;
192
 
193
input   [5:0]   wbu_map_in ;
194
input   [5:0]   wbu_pref_en_in ;
195
input   [5:0]   wbu_mrl_en_in ;
196
 
197
input           wbu_pci_drcomp_pending_in ;
198
 
199
input   [31:0]  wbu_conf_data_in ;
200
 
201
input           wbu_pciw_empty_in ;
202
 
203
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar0_in ;
204
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar1_in ;
205
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar2_in ;
206
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar3_in ;
207
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar4_in ;
208
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar5_in ;
209
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am0_in ;
210
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am1_in ;
211
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am2_in ;
212
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am3_in ;
213
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am4_in ;
214
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am5_in ;
215
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta0_in ;
216
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta1_in ;
217
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta2_in ;
218
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta3_in ;
219
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta4_in ;
220
input   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta5_in ;
221
input   [5:0]                               wbu_at_en_in ;
222
 
223
input   [23:0]  wbu_ccyc_addr_in ;
224
 
225
input           wbu_master_enable_in ;
226
 
227
input                   wbu_cache_line_size_not_zero ;
228
input   [7:0]   wbu_cache_line_size_in ;
229
 
230
input           wbu_pciif_gnt_in ;
231
input           wbu_pciif_frame_in ;
232
input           wbu_pciif_frame_en_in ;
233
input           wbu_pciif_irdy_in ;
234
input           wbu_pciif_trdy_in;
235
input           wbu_pciif_trdy_reg_in;
236
input           wbu_pciif_stop_in ;
237
input           wbu_pciif_stop_reg_in ;
238
input           wbu_pciif_devsel_in ;
239
input           wbu_pciif_devsel_reg_in ;
240
input [31:0]    wbu_pciif_ad_reg_in ;
241
 
242
output          wbu_pciif_req_out ;
243
output          wbu_pciif_frame_out ;
244
output          wbu_pciif_frame_en_out ;
245
input           wbu_pciif_frame_out_in ;
246
output          wbu_pciif_frame_load_out ;
247
output          wbu_pciif_irdy_out ;
248
output          wbu_pciif_irdy_en_out ;
249
output  [31:0]  wbu_pciif_ad_out ;
250
output          wbu_pciif_ad_en_out ;
251
output  [3:0]   wbu_pciif_cbe_out ;
252
output          wbu_pciif_cbe_en_out ;
253
 
254
output  [31:0]  wbu_err_addr_out ;
255
output  [3:0]   wbu_err_bc_out ;
256
output          wbu_err_signal_out ;
257
output          wbu_err_source_out ;
258
output          wbu_err_rty_exp_out ;
259
output          wbu_tabort_rec_out ;
260
output          wbu_mabort_rec_out ;
261
 
262
output  [11:0]  wbu_conf_offset_out ;
263
output          wbu_conf_renable_out ;
264
output          wbu_conf_wenable_out ;
265
output  [3:0]   wbu_conf_be_out ;
266
output  [31:0]  wbu_conf_data_out ;
267
 
268
output          wbu_del_read_comp_pending_out ;
269
output          wbu_wbw_fifo_empty_out ;
270
 
271
input   [7:0]   wbu_latency_tim_val_in ;
272
 
273
output          wbu_ad_load_out ;
274
output          wbu_ad_load_on_transfer_out ;
275
 
276
`ifdef PCI_BIST
277
/*-----------------------------------------------------
278
BIST debug chain port signals
279
-----------------------------------------------------*/
280 122 markom
input   mbist_si_i;       // bist scan serial in
281
output  mbist_so_o;       // bist scan serial out
282
input [`PCI_MBIST_CTRL_WIDTH - 1:0] mbist_ctrl_i;       // bist chain shift control
283 77 mihad
`endif
284
 
285
// pci master interface outputs
286
wire [31:0] pcim_if_address_out ;
287
wire [3:0]  pcim_if_bc_out ;
288
wire [31:0] pcim_if_data_out ;
289
wire [3:0]  pcim_if_be_out ;
290
wire        pcim_if_req_out ;
291
wire        pcim_if_rdy_out ;
292
wire        pcim_if_last_out ;
293
wire        pcim_if_wbw_renable_out ;
294
wire        pcim_if_wbr_wenable_out ;
295
wire [31:0] pcim_if_wbr_data_out ;
296
wire [3:0]  pcim_if_wbr_be_out ;
297
wire [3:0]  pcim_if_wbr_control_out ;
298
wire        pcim_if_del_complete_out ;
299
wire        pcim_if_del_error_out ;
300
wire        pcim_if_del_rty_exp_out ;
301
wire [31:0] pcim_if_err_addr_out ;
302
wire [3:0]  pcim_if_err_bc_out ;
303
wire        pcim_if_err_signal_out ;
304
wire        pcim_if_err_source_out ;
305
wire        pcim_if_err_rty_exp_out ;
306
wire        pcim_if_tabort_out ;
307
wire        pcim_if_mabort_out ;
308
wire [31:0] pcim_if_next_data_out ;
309
wire [3:0]  pcim_if_next_be_out ;
310
wire        pcim_if_next_last_out ;
311
wire        pcim_if_posted_write_not_present_out ;
312
 
313
 
314
 
315
wire        pcim_sm_req_out ;
316
wire        pcim_sm_frame_out ;
317
wire        pcim_sm_frame_en_out ;
318
wire        pcim_sm_irdy_out ;
319
wire        pcim_sm_irdy_en_out ;
320
wire [31:0] pcim_sm_ad_out ;
321
wire        pcim_sm_ad_en_out ;
322
wire [3:0]  pcim_sm_cbe_out ;
323
wire        pcim_sm_cbe_en_out ;
324
wire        pcim_sm_ad_load_out ;
325
wire        pcim_sm_ad_load_on_transfer_out ;
326
 
327
wire        pcim_sm_wait_out ;
328
wire        pcim_sm_wtransfer_out ;
329
wire        pcim_sm_rtransfer_out ;
330
wire        pcim_sm_retry_out ;
331
wire        pcim_sm_rerror_out ;
332
wire        pcim_sm_first_out ;
333
wire        pcim_sm_mabort_out ;
334
wire        pcim_sm_frame_load_out ;
335
 
336
assign wbu_pciif_frame_load_out = pcim_sm_frame_load_out ;
337
 
338
assign wbu_err_addr_out     =   pcim_if_err_addr_out ;
339
assign wbu_err_bc_out       =   pcim_if_err_bc_out ;
340
assign wbu_err_signal_out   =   pcim_if_err_signal_out ;
341
assign wbu_err_source_out   =   pcim_if_err_source_out ;
342
assign wbu_err_rty_exp_out  =   pcim_if_err_rty_exp_out ;
343
assign wbu_tabort_rec_out   =   pcim_if_tabort_out ;
344
assign wbu_mabort_rec_out   =   pcim_if_mabort_out ;
345
 
346
assign wbu_wbw_fifo_empty_out = pcim_if_posted_write_not_present_out ;
347
 
348
// pci master state machine outputs
349
// pci interface signals
350
assign  wbu_pciif_req_out           =           pcim_sm_req_out ;
351
assign  wbu_pciif_frame_out         =           pcim_sm_frame_out ;
352
assign  wbu_pciif_frame_en_out      =           pcim_sm_frame_en_out ;
353
assign  wbu_pciif_irdy_out          =           pcim_sm_irdy_out ;
354
assign  wbu_pciif_irdy_en_out       =           pcim_sm_irdy_en_out ;
355
assign  wbu_pciif_ad_out            =           pcim_sm_ad_out ;
356
assign  wbu_pciif_ad_en_out         =           pcim_sm_ad_en_out ;
357
assign  wbu_pciif_cbe_out           =           pcim_sm_cbe_out ;
358
assign  wbu_pciif_cbe_en_out        =           pcim_sm_cbe_en_out ;
359
assign  wbu_ad_load_out             =           pcim_sm_ad_load_out ;
360
assign  wbu_ad_load_on_transfer_out =           pcim_sm_ad_load_on_transfer_out ;
361
 
362
// signals to internal of the core
363
wire [31:0] pcim_sm_data_out ;
364
 
365
// wishbone slave state machine outputs
366
wire [3:0]  wbs_sm_del_bc_out ;
367
wire        wbs_sm_del_req_out ;
368
wire        wbs_sm_del_done_out ;
369
wire        wbs_sm_del_burst_out ;
370
wire        wbs_sm_del_write_out ;
371
wire [11:0] wbs_sm_conf_offset_out ;
372
wire        wbs_sm_conf_renable_out ;
373
wire        wbs_sm_conf_wenable_out ;
374
wire [3:0]  wbs_sm_conf_be_out ;
375
wire [31:0] wbs_sm_conf_data_out ;
376
wire [31:0] wbs_sm_data_out ;
377
wire [3:0]  wbs_sm_cbe_out ;
378
wire        wbs_sm_wbw_wenable_out ;
379
wire [3:0]  wbs_sm_wbw_control_out ;
380
wire        wbs_sm_wbr_renable_out ;
381
wire        wbs_sm_wbr_flush_out ;
382
wire        wbs_sm_del_in_progress_out ;
383
wire [31:0] wbs_sm_sdata_out ;
384
wire        wbs_sm_ack_out ;
385
wire        wbs_sm_rty_out ;
386
wire        wbs_sm_err_out ;
387
wire        wbs_sm_sample_address_out ;
388
 
389
assign wbu_conf_offset_out  = wbs_sm_conf_offset_out ;
390
assign wbu_conf_renable_out = wbs_sm_conf_renable_out ;
391
assign wbu_conf_wenable_out = wbs_sm_conf_wenable_out ;
392
assign wbu_conf_be_out      = ~wbs_sm_conf_be_out ;
393
assign wbu_conf_data_out    = wbs_sm_conf_data_out ;
394
 
395
assign SDATA_O = wbs_sm_sdata_out ;
396
assign ACK_O   = wbs_sm_ack_out ;
397
assign RTY_O   = wbs_sm_rty_out ;
398
assign ERR_O   = wbs_sm_err_out ;
399
 
400
 
401
// wbw_wbr fifo outputs
402
 
403
// wbw_fifo_outputs:
404
wire [31:0] fifos_wbw_addr_data_out ;
405
wire [3:0]  fifos_wbw_cbe_out ;
406
wire [3:0]  fifos_wbw_control_out ;
407
wire        fifos_wbw_almost_full_out ;
408
wire        fifos_wbw_full_out ;
409
wire        fifos_wbw_empty_out ;
410
wire        fifos_wbw_transaction_ready_out ;
411
 
412
// wbr_fifo_outputs
413
wire [31:0] fifos_wbr_data_out ;
414
wire [3:0]  fifos_wbr_be_out ;
415
wire [3:0]  fifos_wbr_control_out ;
416
wire        fifos_wbr_empty_out ;
417
 
418
// address multiplexer outputs
419
wire [5:0]  amux_hit_out ;
420
wire [31:0] amux_address_out ;
421
 
422
// delayed transaction logic outputs
423
wire [31:0] del_sync_addr_out ;
424
wire [3:0]  del_sync_be_out ;
425
wire        del_sync_we_out ;
426
wire        del_sync_comp_req_pending_out ;
427
wire        del_sync_comp_comp_pending_out ;
428
wire        del_sync_req_req_pending_out ;
429
wire        del_sync_req_comp_pending_out ;
430
wire [3:0]  del_sync_bc_out ;
431
wire        del_sync_status_out ;
432
wire        del_sync_comp_flush_out ;
433
wire        del_sync_burst_out ;
434
 
435
assign wbu_del_read_comp_pending_out = del_sync_comp_comp_pending_out ;
436
 
437
// delayed write storage output
438
wire [31:0] del_write_data_out ;
439
 
440
// config. cycle address decoder output
441
wire [31:0] ccyc_addr_out ;
442
 
443
 
444
// WISHBONE slave interface inputs
445
wire [4:0]  wbs_sm_hit_in                   =       amux_hit_out[5:1] ;
446
wire        wbs_sm_conf_hit_in              =       amux_hit_out[0]   ;
447
wire [4:0]  wbs_sm_map_in                   =       wbu_map_in[5:1]        ;
448
wire [4:0]  wbs_sm_pref_en_in               =       wbu_pref_en_in[5:1]    ;
449
wire [4:0]  wbs_sm_mrl_en_in                =       wbu_mrl_en_in[5:1]     ;
450
wire [31:0] wbs_sm_addr_in                  =       amux_address_out ;
451
wire [3:0]  wbs_sm_del_bc_in                =       del_sync_bc_out  ;
452
wire        wbs_sm_del_req_pending_in       =       del_sync_req_req_pending_out ;
453
wire        wbs_sm_wb_del_comp_pending_in   =       del_sync_req_comp_pending_out ;
454
wire        wbs_sm_pci_drcomp_pending_in    =       wbu_pci_drcomp_pending_in ;
455
wire        wbs_sm_del_write_in             =       del_sync_we_out ;
456
wire        wbs_sm_del_error_in             =       del_sync_status_out ;
457
wire [31:0] wbs_sm_del_addr_in              =       del_sync_addr_out ;
458
wire [3:0]  wbs_sm_del_be_in                =       del_sync_be_out ;
459
wire [31:0] wbs_sm_conf_data_in             =       wbu_conf_data_in ;
460
wire        wbs_sm_wbw_almost_full_in       =       fifos_wbw_almost_full_out ;
461
wire        wbs_sm_wbw_full_in              =       fifos_wbw_full_out ;
462
wire [3:0]  wbs_sm_wbr_be_in                =       fifos_wbr_be_out ;
463
wire [31:0] wbs_sm_wbr_data_in              =       fifos_wbr_data_out ;
464
wire [3:0]  wbs_sm_wbr_control_in           =       fifos_wbr_control_out ;
465
wire        wbs_sm_wbr_empty_in             =       fifos_wbr_empty_out ;
466
wire        wbs_sm_pciw_empty_in            =       wbu_pciw_empty_in ;
467
wire        wbs_sm_lock_in                  =       ~wbu_master_enable_in ;
468
wire            wbs_sm_cache_line_size_not_zero =               wbu_cache_line_size_not_zero ;
469
wire        wbs_sm_cyc_in                   =       CYC_I ;
470
wire        wbs_sm_stb_in                   =       STB_I ;
471
wire        wbs_sm_we_in                    =       WE_I  ;
472
wire [3:0]  wbs_sm_sel_in                   =       SEL_I ;
473
wire [31:0] wbs_sm_sdata_in                 =       SDATA_I ;
474
wire        wbs_sm_cab_in                   =       CAB_I ;
475
wire [31:0] wbs_sm_ccyc_addr_in             =       ccyc_addr_out ;
476
 
477
// WISHBONE slave interface instantiation
478
pci_wb_slave wishbone_slave(
479
                        .wb_clock_in              (wb_clock_in) ,
480
                        .reset_in                 (reset_in) ,
481
                        .wb_hit_in                (wbs_sm_hit_in) ,
482
                        .wb_conf_hit_in           (wbs_sm_conf_hit_in) ,
483
                        .wb_map_in                (wbs_sm_map_in) ,
484
                        .wb_pref_en_in            (wbs_sm_pref_en_in) ,
485
                        .wb_mrl_en_in             (wbs_sm_mrl_en_in) ,
486
                        .wb_addr_in               (wbs_sm_addr_in),
487
                        .del_bc_in                (wbs_sm_del_bc_in),
488
                        .wb_del_req_pending_in    (wbs_sm_del_req_pending_in),
489
                        .wb_del_comp_pending_in   (wbs_sm_wb_del_comp_pending_in),
490
                        .pci_drcomp_pending_in    (wbs_sm_pci_drcomp_pending_in),
491
                        .del_bc_out               (wbs_sm_del_bc_out),
492
                        .del_req_out              (wbs_sm_del_req_out),
493
                        .del_done_out             (wbs_sm_del_done_out),
494
                        .del_burst_out            (wbs_sm_del_burst_out),
495
                        .del_write_out            (wbs_sm_del_write_out),
496
                        .del_write_in             (wbs_sm_del_write_in),
497
                        .del_error_in             (wbs_sm_del_error_in),
498
                        .wb_del_addr_in           (wbs_sm_del_addr_in),
499
                        .wb_del_be_in             (wbs_sm_del_be_in),
500
                        .wb_conf_offset_out       (wbs_sm_conf_offset_out),
501
                        .wb_conf_renable_out      (wbs_sm_conf_renable_out),
502
                        .wb_conf_wenable_out      (wbs_sm_conf_wenable_out),
503
                        .wb_conf_be_out           (wbs_sm_conf_be_out),
504
                        .wb_conf_data_in          (wbs_sm_conf_data_in),
505
                        .wb_conf_data_out         (wbs_sm_conf_data_out),
506
                        .wb_data_out              (wbs_sm_data_out),
507
                        .wb_cbe_out               (wbs_sm_cbe_out),
508
                        .wbw_fifo_wenable_out     (wbs_sm_wbw_wenable_out),
509
                        .wbw_fifo_control_out     (wbs_sm_wbw_control_out),
510
                        .wbw_fifo_almost_full_in  (wbs_sm_wbw_almost_full_in),
511
                        .wbw_fifo_full_in         (wbs_sm_wbw_full_in),
512
                        .wbr_fifo_renable_out     (wbs_sm_wbr_renable_out),
513
                        .wbr_fifo_be_in           (wbs_sm_wbr_be_in),
514
                        .wbr_fifo_data_in         (wbs_sm_wbr_data_in),
515
                        .wbr_fifo_control_in      (wbs_sm_wbr_control_in),
516
                        .wbr_fifo_flush_out       (wbs_sm_wbr_flush_out),
517
                        .wbr_fifo_empty_in        (wbs_sm_wbr_empty_in),
518
                        .pciw_fifo_empty_in       (wbs_sm_pciw_empty_in),
519
                        .wbs_lock_in              (wbs_sm_lock_in),
520
                        .cache_line_size_not_zero (wbs_sm_cache_line_size_not_zero),
521
                        .del_in_progress_out      (wbs_sm_del_in_progress_out),
522
                        .ccyc_addr_in             (wbs_sm_ccyc_addr_in),
523
                        .sample_address_out       (wbs_sm_sample_address_out),
524
                        .CYC_I                    (wbs_sm_cyc_in),
525
                        .STB_I                    (wbs_sm_stb_in),
526
                        .WE_I                     (wbs_sm_we_in),
527
                        .SEL_I                    (wbs_sm_sel_in),
528
                        .SDATA_I                  (wbs_sm_sdata_in),
529
                        .SDATA_O                  (wbs_sm_sdata_out),
530
                        .ACK_O                    (wbs_sm_ack_out),
531
                        .RTY_O                    (wbs_sm_rty_out),
532
                        .ERR_O                    (wbs_sm_err_out),
533
                        .CAB_I                    (wbs_sm_cab_in)
534
                       );
535
 
536
// wbw_wbr_fifos inputs
537
// WBW_FIFO inputs
538
wire        fifos_wbw_wenable_in        =       wbs_sm_wbw_wenable_out;
539
wire [31:0] fifos_wbw_addr_data_in      =       wbs_sm_data_out ;
540
wire [3:0]  fifos_wbw_cbe_in            =       wbs_sm_cbe_out ;
541
wire [3:0]  fifos_wbw_control_in        =       wbs_sm_wbw_control_out ;
542
wire        fifos_wbw_renable_in        =       pcim_if_wbw_renable_out ;
543
 
544
//wire        fifos_wbw_flush_in          =       1'b0 ; flush for write fifo not used
545
 
546
// WBR_FIFO inputs
547
wire        fifos_wbr_wenable_in        =       pcim_if_wbr_wenable_out ;
548
wire [31:0] fifos_wbr_data_in           =       pcim_if_wbr_data_out ;
549
wire [3:0]  fifos_wbr_be_in             =       pcim_if_wbr_be_out ;
550
wire [3:0]  fifos_wbr_control_in        =       pcim_if_wbr_control_out ;
551
wire        fifos_wbr_renable_in        =       wbs_sm_wbr_renable_out ;
552
wire        fifos_wbr_flush_in          =       wbs_sm_wbr_flush_out || del_sync_comp_flush_out ;
553
 
554
// WBW_FIFO and WBR_FIFO instantiation
555
pci_wbw_wbr_fifos fifos
556
(
557
    .wb_clock_in               (wb_clock_in),
558
    .pci_clock_in              (pci_clock_in),
559
    .reset_in                  (reset_in),
560
    .wbw_wenable_in            (fifos_wbw_wenable_in),
561
    .wbw_addr_data_in          (fifos_wbw_addr_data_in),
562
    .wbw_cbe_in                (fifos_wbw_cbe_in),
563
    .wbw_control_in            (fifos_wbw_control_in),
564
    .wbw_renable_in            (fifos_wbw_renable_in),
565
    .wbw_addr_data_out         (fifos_wbw_addr_data_out),
566
    .wbw_cbe_out               (fifos_wbw_cbe_out),
567
    .wbw_control_out           (fifos_wbw_control_out),
568
//    .wbw_flush_in              (fifos_wbw_flush_in),        // flush for write fifo not used
569
    .wbw_almost_full_out       (fifos_wbw_almost_full_out),
570
    .wbw_full_out              (fifos_wbw_full_out),
571
    .wbw_empty_out             (fifos_wbw_empty_out),
572
    .wbw_transaction_ready_out (fifos_wbw_transaction_ready_out),
573
    .wbr_wenable_in            (fifos_wbr_wenable_in),
574
    .wbr_data_in               (fifos_wbr_data_in),
575
    .wbr_be_in                 (fifos_wbr_be_in),
576
    .wbr_control_in            (fifos_wbr_control_in),
577
    .wbr_renable_in            (fifos_wbr_renable_in),
578
    .wbr_data_out              (fifos_wbr_data_out),
579
    .wbr_be_out                (fifos_wbr_be_out),
580
    .wbr_control_out           (fifos_wbr_control_out),
581
    .wbr_flush_in              (fifos_wbr_flush_in),
582
    .wbr_empty_out             (fifos_wbr_empty_out)
583
 
584
`ifdef PCI_BIST
585
    ,
586 122 markom
    .mbist_si_i       (mbist_si_i),
587
    .mbist_so_o       (mbist_so_o),
588
    .mbist_ctrl_i       (mbist_ctrl_i)
589 77 mihad
`endif
590
) ;
591
 
592
wire [31:0] amux_addr_in  = ADDR_I ;
593
wire        amux_sample_address_in = wbs_sm_sample_address_out ;
594
 
595
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_bar0_in   =   wbu_bar0_in ;
596
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_bar1_in   =   wbu_bar1_in ;
597
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_bar2_in   =   wbu_bar2_in ;
598
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_bar3_in   =   wbu_bar3_in ;
599
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_bar4_in   =   wbu_bar4_in ;
600
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_bar5_in   =   wbu_bar5_in ;
601
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_am0_in    =   wbu_am0_in ;
602
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_am1_in    =   wbu_am1_in ;
603
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_am2_in    =   wbu_am2_in ;
604
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_am3_in    =   wbu_am3_in ;
605
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_am4_in    =   wbu_am4_in ;
606
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_am5_in    =   wbu_am5_in ;
607
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_ta0_in    =   wbu_ta0_in ;
608
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_ta1_in    =   wbu_ta1_in ;
609
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_ta2_in    =   wbu_ta2_in ;
610
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_ta3_in    =   wbu_ta3_in ;
611
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_ta4_in    =   wbu_ta4_in ;
612
wire [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] amux_ta5_in    =   wbu_ta5_in ;
613
wire [5:0]  amux_at_en_in = wbu_at_en_in ;
614
 
615
pci_wb_addr_mux wb_addr_dec
616
(
617
    `ifdef REGISTER_WBS_OUTPUTS
618
    .clk_in      (wb_clock_in),
619
    .reset_in    (reset_in),
620
    .sample_address_in (amux_sample_address_in),
621
    `endif
622
    .address_in  (amux_addr_in),
623
    .bar0_in     (amux_bar0_in),
624
    .bar1_in     (amux_bar1_in),
625
    .bar2_in     (amux_bar2_in),
626
    .bar3_in     (amux_bar3_in),
627
    .bar4_in     (amux_bar4_in),
628
    .bar5_in     (amux_bar5_in),
629
    .am0_in      (amux_am0_in),
630
    .am1_in      (amux_am1_in),
631
    .am2_in      (amux_am2_in),
632
    .am3_in      (amux_am3_in),
633
    .am4_in      (amux_am4_in),
634
    .am5_in      (amux_am5_in),
635
    .ta0_in      (amux_ta0_in),
636
    .ta1_in      (amux_ta1_in),
637
    .ta2_in      (amux_ta2_in),
638
    .ta3_in      (amux_ta3_in),
639
    .ta4_in      (amux_ta4_in),
640
    .ta5_in      (amux_ta5_in),
641
    .at_en_in    (amux_at_en_in),
642
    .hit_out     (amux_hit_out),
643
    .address_out (amux_address_out)
644
);
645
 
646
// delayed transaction logic inputs
647
wire        del_sync_req_in             =       wbs_sm_del_req_out ;
648
wire        del_sync_comp_in            =       pcim_if_del_complete_out ;
649
wire        del_sync_done_in            =       wbs_sm_del_done_out ;
650
wire        del_sync_in_progress_in     =       wbs_sm_del_in_progress_out ;
651
wire [31:0] del_sync_addr_in            =       wbs_sm_data_out ;
652
wire [3:0]  del_sync_be_in              =       wbs_sm_conf_be_out ;
653
wire        del_sync_we_in              =       wbs_sm_del_write_out ;
654
wire [3:0]  del_sync_bc_in              =       wbs_sm_del_bc_out ;
655
wire        del_sync_status_in          =       pcim_if_del_error_out ;
656
wire        del_sync_burst_in           =       wbs_sm_del_burst_out ;
657
wire        del_sync_retry_expired_in   =       pcim_if_del_rty_exp_out ;
658
 
659
// delayed transaction logic instantiation
660
pci_delayed_sync del_sync  (
661
                            .reset_in             (reset_in),
662
                            .req_clk_in           (wb_clock_in),
663
                            .comp_clk_in          (pci_clock_in),
664
                            .req_in               (del_sync_req_in),
665
                            .comp_in              (del_sync_comp_in),
666
                            .done_in              (del_sync_done_in),
667
                            .in_progress_in       (del_sync_in_progress_in),
668
                            .comp_req_pending_out (del_sync_comp_req_pending_out),
669
                            .comp_comp_pending_out(del_sync_comp_comp_pending_out),
670
                            .req_req_pending_out  (del_sync_req_req_pending_out),
671
                            .req_comp_pending_out (del_sync_req_comp_pending_out),
672
                            .addr_in              (del_sync_addr_in),
673
                            .be_in                (del_sync_be_in),
674
                            .addr_out             (del_sync_addr_out),
675
                            .be_out               (del_sync_be_out),
676
                            .we_in                (del_sync_we_in),
677
                            .we_out               (del_sync_we_out),
678
                            .bc_in                (del_sync_bc_in),
679
                            .bc_out               (del_sync_bc_out),
680
                            .status_in            (del_sync_status_in),
681
                            .status_out           (del_sync_status_out),
682
                            .comp_flush_out       (del_sync_comp_flush_out),
683
                            .burst_in             (del_sync_burst_in),
684
                            .burst_out            (del_sync_burst_out),
685
                            .retry_expired_in     (del_sync_retry_expired_in)
686
                        );
687
 
688
// delayed write storage inputs
689
wire        del_write_we_in         =       wbs_sm_del_req_out && wbs_sm_del_write_out ;
690
wire [31:0] del_write_data_in       =       wbs_sm_conf_data_out ;
691
 
692
pci_delayed_write_reg delayed_write_data
693
(
694
        .reset_in       (reset_in),
695
        .req_clk_in     (wb_clock_in),
696
        .comp_wdata_out (del_write_data_out),
697
        .req_we_in      (del_write_we_in),
698
        .req_wdata_in   (del_write_data_in)
699
);
700
 
701
`ifdef HOST
702
    // configuration cycle address decoder input
703
    wire    [31:0]      ccyc_addr_in = {8'h00, wbu_ccyc_addr_in} ;
704
 
705
    pci_conf_cyc_addr_dec ccyc_addr_dec
706
    (
707
        .ccyc_addr_in   (ccyc_addr_in),
708
        .ccyc_addr_out  (ccyc_addr_out)
709
    ) ;
710
`else
711
`ifdef GUEST
712
    assign ccyc_addr_out = 32'h0000_0000 ;
713
`endif
714
`endif
715
 
716
// pci master interface inputs
717
wire [31:0] pcim_if_wbw_addr_data_in            =           fifos_wbw_addr_data_out ;
718
wire [3:0]  pcim_if_wbw_cbe_in                  =           fifos_wbw_cbe_out ;
719
wire [3:0]  pcim_if_wbw_control_in              =           fifos_wbw_control_out ;
720
wire        pcim_if_wbw_empty_in                =           fifos_wbw_empty_out ;
721
wire        pcim_if_wbw_transaction_ready_in    =           fifos_wbw_transaction_ready_out ;
722
wire [31:0] pcim_if_data_in                     =           pcim_sm_data_out ;
723
wire [31:0] pcim_if_del_wdata_in                =           del_write_data_out ;
724
wire        pcim_if_del_req_in                  =           del_sync_comp_req_pending_out ;
725
wire [31:0] pcim_if_del_addr_in                 =           del_sync_addr_out ;
726
wire [3:0]  pcim_if_del_bc_in                   =           del_sync_bc_out ;
727
wire [3:0]  pcim_if_del_be_in                   =           del_sync_be_out ;
728
wire        pcim_if_del_burst_in                =           del_sync_burst_out ;
729
wire        pcim_if_del_we_in                   =           del_sync_we_out ;
730
wire [7:0]  pcim_if_cache_line_size_in          =           wbu_cache_line_size_in ;
731
wire        pcim_if_wait_in                     =           pcim_sm_wait_out ;
732
wire        pcim_if_wtransfer_in                =           pcim_sm_wtransfer_out ;
733
wire        pcim_if_rtransfer_in                =           pcim_sm_rtransfer_out ;
734
wire        pcim_if_retry_in                    =           pcim_sm_retry_out ;
735
wire        pcim_if_rerror_in                   =           pcim_sm_rerror_out ;
736
wire        pcim_if_first_in                    =           pcim_sm_first_out ;
737
wire        pcim_if_mabort_in                   =           pcim_sm_mabort_out ;
738
 
739
pci_master32_sm_if pci_initiator_if
740
(
741
    .clk_in                        (pci_clock_in),
742
    .reset_in                      (reset_in),
743
    .address_out                   (pcim_if_address_out),
744
    .bc_out                        (pcim_if_bc_out),
745
    .data_out                      (pcim_if_data_out),
746
    .data_in                       (pcim_if_data_in),
747
    .be_out                        (pcim_if_be_out),
748
    .req_out                       (pcim_if_req_out),
749
    .rdy_out                       (pcim_if_rdy_out),
750
    .last_out                      (pcim_if_last_out),
751
    .wbw_renable_out               (pcim_if_wbw_renable_out),
752
    .wbw_fifo_addr_data_in         (pcim_if_wbw_addr_data_in),
753
    .wbw_fifo_cbe_in               (pcim_if_wbw_cbe_in),
754
    .wbw_fifo_control_in           (pcim_if_wbw_control_in),
755
    .wbw_fifo_empty_in             (pcim_if_wbw_empty_in),
756
    .wbw_fifo_transaction_ready_in (pcim_if_wbw_transaction_ready_in),
757
    .wbr_fifo_wenable_out          (pcim_if_wbr_wenable_out),
758
    .wbr_fifo_data_out             (pcim_if_wbr_data_out),
759
    .wbr_fifo_be_out               (pcim_if_wbr_be_out),
760
    .wbr_fifo_control_out          (pcim_if_wbr_control_out),
761
    .del_wdata_in                  (pcim_if_del_wdata_in),
762
    .del_complete_out              (pcim_if_del_complete_out),
763
    .del_req_in                    (pcim_if_del_req_in),
764
    .del_addr_in                   (pcim_if_del_addr_in),
765
    .del_bc_in                     (pcim_if_del_bc_in),
766
    .del_be_in                     (pcim_if_del_be_in),
767
    .del_burst_in                  (pcim_if_del_burst_in),
768
    .del_error_out                 (pcim_if_del_error_out),
769
    .del_rty_exp_out               (pcim_if_del_rty_exp_out),
770
    .del_we_in                     (pcim_if_del_we_in),
771
    .err_addr_out                  (pcim_if_err_addr_out),
772
    .err_bc_out                    (pcim_if_err_bc_out),
773
    .err_signal_out                (pcim_if_err_signal_out),
774
    .err_source_out                (pcim_if_err_source_out),
775
    .err_rty_exp_out               (pcim_if_err_rty_exp_out),
776
    .cache_line_size_in            (pcim_if_cache_line_size_in),
777
    .mabort_received_out           (pcim_if_mabort_out),
778
    .tabort_received_out           (pcim_if_tabort_out),
779
    .next_data_out                 (pcim_if_next_data_out),
780
    .next_be_out                   (pcim_if_next_be_out),
781
    .next_last_out                 (pcim_if_next_last_out),
782
    .wait_in                       (pcim_if_wait_in),
783
    .wtransfer_in                  (pcim_if_wtransfer_in),
784
    .rtransfer_in                  (pcim_if_rtransfer_in),
785
    .retry_in                      (pcim_if_retry_in),
786
    .rerror_in                     (pcim_if_rerror_in),
787
    .first_in                      (pcim_if_first_in),
788
    .mabort_in                     (pcim_if_mabort_in),
789
    .posted_write_not_present_out  (pcim_if_posted_write_not_present_out)
790
);
791
 
792
// pci master state machine inputs
793
wire        pcim_sm_gnt_in                  =       wbu_pciif_gnt_in ;
794
wire        pcim_sm_frame_in                =       wbu_pciif_frame_in ;
795
wire        pcim_sm_irdy_in                 =       wbu_pciif_irdy_in ;
796
wire        pcim_sm_trdy_in                 =       wbu_pciif_trdy_in;
797
wire        pcim_sm_stop_in                 =       wbu_pciif_stop_in ;
798
wire        pcim_sm_devsel_in               =       wbu_pciif_devsel_in ;
799
wire [31:0] pcim_sm_ad_reg_in               =       wbu_pciif_ad_reg_in ;
800
wire [31:0] pcim_sm_address_in              =       pcim_if_address_out ;
801
wire [3:0]  pcim_sm_bc_in                   =       pcim_if_bc_out ;
802
wire [31:0] pcim_sm_data_in                 =       pcim_if_data_out ;
803
wire [3:0]  pcim_sm_be_in                   =       pcim_if_be_out ;
804
wire        pcim_sm_req_in                  =       pcim_if_req_out ;
805
wire        pcim_sm_rdy_in                  =       pcim_if_rdy_out ;
806
wire        pcim_sm_last_in                 =       pcim_if_last_out ;
807
wire [7:0]  pcim_sm_latency_tim_val_in      =       wbu_latency_tim_val_in ;
808
wire [31:0] pcim_sm_next_data_in            =       pcim_if_next_data_out ;
809
wire [3:0]  pcim_sm_next_be_in              =       pcim_if_next_be_out ;
810
wire        pcim_sm_next_last_in            =       pcim_if_next_last_out ;
811
wire        pcim_sm_trdy_reg_in             =       wbu_pciif_trdy_reg_in ;
812
wire        pcim_sm_stop_reg_in             =       wbu_pciif_stop_reg_in ;
813
wire        pcim_sm_devsel_reg_in           =       wbu_pciif_devsel_reg_in ;
814
wire        pcim_sm_frame_en_in             =       wbu_pciif_frame_en_in ;
815
wire        pcim_sm_frame_out_in            =       wbu_pciif_frame_out_in ;
816
 
817
pci_master32_sm pci_initiator_sm
818
(
819
    .clk_in                     (pci_clock_in),
820
    .reset_in                   (reset_in),
821
    .pci_req_out                (pcim_sm_req_out),
822
    .pci_gnt_in                 (pcim_sm_gnt_in),
823
    .pci_frame_in               (pcim_sm_frame_in),
824
    .pci_frame_out              (pcim_sm_frame_out),
825
    .pci_frame_en_out           (pcim_sm_frame_en_out),
826
    .pci_frame_out_in           (pcim_sm_frame_out_in),
827
    .pci_frame_load_out         (pcim_sm_frame_load_out),
828
    .pci_frame_en_in            (pcim_sm_frame_en_in),
829
    .pci_irdy_in                (pcim_sm_irdy_in),
830
    .pci_irdy_out               (pcim_sm_irdy_out),
831
    .pci_irdy_en_out            (pcim_sm_irdy_en_out),
832
    .pci_trdy_in                (pcim_sm_trdy_in),
833
    .pci_trdy_reg_in            (pcim_sm_trdy_reg_in),
834
    .pci_stop_in                (pcim_sm_stop_in),
835
    .pci_stop_reg_in            (pcim_sm_stop_reg_in),
836
    .pci_devsel_in              (pcim_sm_devsel_in),
837
    .pci_devsel_reg_in          (pcim_sm_devsel_reg_in),
838
    .pci_ad_reg_in              (pcim_sm_ad_reg_in),
839
    .pci_ad_out                 (pcim_sm_ad_out),
840
    .pci_ad_en_out              (pcim_sm_ad_en_out),
841
    .pci_cbe_out                (pcim_sm_cbe_out),
842
    .pci_cbe_en_out             (pcim_sm_cbe_en_out),
843
    .address_in                 (pcim_sm_address_in),
844
    .bc_in                      (pcim_sm_bc_in),
845
    .data_in                    (pcim_sm_data_in),
846
    .data_out                   (pcim_sm_data_out),
847
    .be_in                      (pcim_sm_be_in),
848
    .req_in                     (pcim_sm_req_in),
849
    .rdy_in                     (pcim_sm_rdy_in),
850
    .last_in                    (pcim_sm_last_in),
851
    .latency_tim_val_in         (pcim_sm_latency_tim_val_in),
852
    .next_data_in               (pcim_sm_next_data_in),
853
    .next_be_in                 (pcim_sm_next_be_in),
854
    .next_last_in               (pcim_sm_next_last_in),
855
    .ad_load_out                (pcim_sm_ad_load_out),
856
    .ad_load_on_transfer_out    (pcim_sm_ad_load_on_transfer_out),
857
    .wait_out                   (pcim_sm_wait_out),
858
    .wtransfer_out              (pcim_sm_wtransfer_out),
859
    .rtransfer_out              (pcim_sm_rtransfer_out),
860
    .retry_out                  (pcim_sm_retry_out),
861
    .rerror_out                 (pcim_sm_rerror_out),
862
    .first_out                  (pcim_sm_first_out),
863
    .mabort_out                 (pcim_sm_mabort_out)
864
) ;
865
 
866
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.