OpenCores
URL https://opencores.org/ocsvn/pci/pci/trunk

Subversion Repositories pci

[/] [pci/] [tags/] [rel_11/] [rtl/] [verilog/] [pci_bridge32.v] - Blame information for rev 154

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 mihad
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  File name "pci_bridge32.v"                                  ////
4
////                                                              ////
5
////  This file is part of the "PCI bridge" project               ////
6
////  http://www.opencores.org/cores/pci/                         ////
7
////                                                              ////
8
////  Author(s):                                                  ////
9
////      - Miha Dolenc (mihad@opencores.org)                     ////
10
////      - Tadej Markovic (tadej@opencores.org)                  ////
11
////                                                              ////
12
////  All additional information is avaliable in the README       ////
13
////  file.                                                       ////
14
////                                                              ////
15
////                                                              ////
16
//////////////////////////////////////////////////////////////////////
17
////                                                              ////
18
//// Copyright (C) 2001 Miha Dolenc, mihad@opencores.org          ////
19
////                                                              ////
20
//// This source file may be used and distributed without         ////
21
//// restriction provided that this copyright statement is not    ////
22
//// removed from the file and that any derivative work contains  ////
23
//// the original copyright notice and the associated disclaimer. ////
24
////                                                              ////
25
//// This source file is free software; you can redistribute it   ////
26
//// and/or modify it under the terms of the GNU Lesser General   ////
27
//// Public License as published by the Free Software Foundation; ////
28
//// either version 2.1 of the License, or (at your option) any   ////
29
//// later version.                                               ////
30
////                                                              ////
31
//// This source is distributed in the hope that it will be       ////
32
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
33
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
34
//// PURPOSE.  See the GNU Lesser General Public License for more ////
35
//// details.                                                     ////
36
////                                                              ////
37
//// You should have received a copy of the GNU Lesser General    ////
38
//// Public License along with this source; if not, download it   ////
39
//// from http://www.opencores.org/lgpl.shtml                     ////
40
////                                                              ////
41
//////////////////////////////////////////////////////////////////////
42
//
43
// CVS Revision History
44
//
45
// $Log: not supported by cvs2svn $
46 122 markom
// Revision 1.12  2003/08/21 20:49:03  tadejm
47
// Added signals for WB Master B3.
48
//
49 115 tadejm
// Revision 1.11  2003/08/08 16:36:33  tadejm
50
// Added 'three_left_out' to pci_pciw_fifo signaling three locations before full. Added comparison between current registered cbe and next unregistered cbe to signal wb_master whether it is allowed to performe burst or not. Due to this, I needed 'three_left_out' so that writing to pci_pciw_fifo can be registered, otherwise timing problems would occure.
51
//
52 108 tadejm
// Revision 1.10  2003/08/03 18:05:06  mihad
53
// Added limited WISHBONE B3 support for WISHBONE Slave Unit.
54
// Doesn't support full speed bursts yet.
55
//
56 106 mihad
// Revision 1.9  2003/01/27 16:49:31  mihad
57
// Changed module and file names. Updated scripts accordingly. FIFO synchronizations changed.
58
//
59 77 mihad
// Revision 1.8  2002/10/21 13:04:33  mihad
60
// Changed BIST signal names etc..
61
//
62 69 mihad
// Revision 1.7  2002/10/18 03:36:37  tadejm
63 122 markom
// Changed wrong signal name mbist_sen into mbist_ctrl_i.
64 69 mihad
//
65 68 tadejm
// Revision 1.6  2002/10/17 22:51:50  tadejm
66
// Changed BIST signals for RAMs.
67
//
68 67 tadejm
// Revision 1.5  2002/10/11 10:09:01  mihad
69
// Added additional testcase and changed rst name in BIST to trst
70
//
71 63 mihad
// Revision 1.4  2002/10/08 17:17:05  mihad
72
// Added BIST signals for RAMs.
73
//
74 62 mihad
// Revision 1.3  2002/02/01 15:25:12  mihad
75
// Repaired a few bugs, updated specification, added test bench files and design document
76
//
77 21 mihad
// Revision 1.2  2001/10/05 08:14:28  mihad
78
// Updated all files with inclusion of timescale file for simulation purposes.
79
//
80 6 mihad
// Revision 1.1.1.1  2001/10/02 15:33:46  mihad
81
// New project directory structure
82 2 mihad
//
83 6 mihad
//
84 2 mihad
 
85 21 mihad
`include "pci_constants.v"
86
 
87
// synopsys translate_off
88 6 mihad
`include "timescale.v"
89 21 mihad
// synopsys translate_on
90 2 mihad
 
91
// this is top level module of pci bridge core
92
// it instantiates and connects other lower level modules
93
// check polarity of PCI output enables in file out_reg.v and change it according to IO interface specification
94
 
95 77 mihad
module pci_bridge32
96 2 mihad
(
97
    // WISHBONE system signals
98 77 mihad
    wb_clk_i,
99
    wb_rst_i,
100
    wb_rst_o,
101
    wb_int_i,
102
    wb_int_o,
103 2 mihad
 
104
    // WISHBONE slave interface
105 77 mihad
    wbs_adr_i,
106
    wbs_dat_i,
107
    wbs_dat_o,
108
    wbs_sel_i,
109
    wbs_cyc_i,
110
    wbs_stb_i,
111
    wbs_we_i,
112 106 mihad
 
113
`ifdef PCI_WB_REV_B3
114
 
115
    wbs_cti_i,
116
    wbs_bte_i,
117
 
118
`else
119
 
120 77 mihad
    wbs_cab_i,
121 106 mihad
 
122
`endif
123
 
124 77 mihad
    wbs_ack_o,
125
    wbs_rty_o,
126
    wbs_err_o,
127 2 mihad
 
128
    // WISHBONE master interface
129 77 mihad
    wbm_adr_o,
130
    wbm_dat_i,
131
    wbm_dat_o,
132
    wbm_sel_o,
133
    wbm_cyc_o,
134
    wbm_stb_o,
135
    wbm_we_o,
136 115 tadejm
    wbm_cti_o,
137
    wbm_bte_o,
138 77 mihad
    wbm_ack_i,
139
    wbm_rty_i,
140
    wbm_err_i,
141 2 mihad
 
142
    // pci interface - system pins
143 77 mihad
    pci_clk_i,
144
    pci_rst_i,
145
    pci_rst_o,
146
    pci_inta_i,
147
    pci_inta_o,
148
    pci_rst_oe_o,
149
    pci_inta_oe_o,
150 2 mihad
 
151
    // arbitration pins
152 77 mihad
    pci_req_o,
153
    pci_req_oe_o,
154 2 mihad
 
155 77 mihad
    pci_gnt_i,
156 2 mihad
 
157
    // protocol pins
158 77 mihad
    pci_frame_i,
159
    pci_frame_o,
160 2 mihad
 
161 77 mihad
    pci_frame_oe_o,
162
    pci_irdy_oe_o,
163
    pci_devsel_oe_o,
164
    pci_trdy_oe_o,
165
    pci_stop_oe_o,
166
    pci_ad_oe_o,
167
    pci_cbe_oe_o,
168 2 mihad
 
169 77 mihad
    pci_irdy_i,
170
    pci_irdy_o,
171 2 mihad
 
172 77 mihad
    pci_idsel_i,
173 2 mihad
 
174 77 mihad
    pci_devsel_i,
175
    pci_devsel_o,
176 2 mihad
 
177 77 mihad
    pci_trdy_i,
178
    pci_trdy_o,
179 21 mihad
 
180 77 mihad
    pci_stop_i,
181
    pci_stop_o          ,
182 21 mihad
 
183
    // data transfer pins
184 77 mihad
    pci_ad_i,
185
    pci_ad_o,
186 21 mihad
 
187 77 mihad
    pci_cbe_i,
188
    pci_cbe_o,
189 2 mihad
 
190
    // parity generation and checking pins
191 77 mihad
    pci_par_i,
192
    pci_par_o,
193
    pci_par_oe_o,
194 2 mihad
 
195 77 mihad
    pci_perr_i,
196
    pci_perr_o,
197
    pci_perr_oe_o,
198 2 mihad
 
199
    // system error pin
200 77 mihad
    pci_serr_o,
201
    pci_serr_oe_o
202 62 mihad
 
203
`ifdef PCI_BIST
204
    ,
205
    // debug chain signals
206 122 markom
    mbist_si_i,       // bist scan serial in
207
    mbist_so_o,       // bist scan serial out
208
    mbist_ctrl_i        // bist chain shift control
209 62 mihad
`endif
210 2 mihad
);
211
 
212
// WISHBONE system signals
213 77 mihad
input   wb_clk_i ;
214
input   wb_rst_i ;
215
output  wb_rst_o ;
216
input   wb_int_i ;
217
output  wb_int_o ;
218 2 mihad
 
219
// WISHBONE slave interface
220 77 mihad
input   [31:0]  wbs_adr_i ;
221
input   [31:0]  wbs_dat_i ;
222
output  [31:0]  wbs_dat_o ;
223
input   [3:0]   wbs_sel_i ;
224
input           wbs_cyc_i ;
225
input           wbs_stb_i ;
226
input           wbs_we_i ;
227 106 mihad
 
228
`ifdef PCI_WB_REV_B3
229
 
230
input [2:0] wbs_cti_i ;
231
input [1:0] wbs_bte_i ;
232
 
233
`else
234
 
235
input wbs_cab_i ;
236
 
237
`endif
238
 
239 77 mihad
output          wbs_ack_o ;
240
output          wbs_rty_o ;
241
output          wbs_err_o ;
242 2 mihad
 
243
// WISHBONE master interface
244 77 mihad
output  [31:0]  wbm_adr_o ;
245
input   [31:0]  wbm_dat_i ;
246
output  [31:0]  wbm_dat_o ;
247
output  [3:0]   wbm_sel_o ;
248
output          wbm_cyc_o ;
249
output          wbm_stb_o ;
250
output          wbm_we_o ;
251 115 tadejm
output  [2:0]   wbm_cti_o ;
252
output  [1:0]   wbm_bte_o ;
253 77 mihad
input           wbm_ack_i ;
254
input           wbm_rty_i ;
255
input           wbm_err_i ;
256 2 mihad
 
257
// pci interface - system pins
258 77 mihad
input   pci_clk_i ;
259
input   pci_rst_i ;
260
output  pci_rst_o ;
261
output  pci_rst_oe_o ;
262 2 mihad
 
263 77 mihad
input   pci_inta_i ;
264
output  pci_inta_o ;
265
output  pci_inta_oe_o ;
266 2 mihad
 
267
// arbitration pins
268 77 mihad
output  pci_req_o ;
269
output  pci_req_oe_o ;
270 2 mihad
 
271 77 mihad
input   pci_gnt_i ;
272 2 mihad
 
273
// protocol pins
274 77 mihad
input   pci_frame_i ;
275
output  pci_frame_o ;
276
output  pci_frame_oe_o ;
277
output  pci_irdy_oe_o ;
278
output  pci_devsel_oe_o ;
279
output  pci_trdy_oe_o ;
280
output  pci_stop_oe_o ;
281
output  [31:0] pci_ad_oe_o ;
282
output  [3:0]  pci_cbe_oe_o ;
283 2 mihad
 
284 77 mihad
input   pci_irdy_i ;
285
output  pci_irdy_o ;
286 2 mihad
 
287 77 mihad
input   pci_idsel_i ;
288 2 mihad
 
289 77 mihad
input   pci_devsel_i ;
290
output  pci_devsel_o ;
291 2 mihad
 
292 77 mihad
input   pci_trdy_i ;
293
output  pci_trdy_o ;
294 2 mihad
 
295 77 mihad
input   pci_stop_i ;
296
output  pci_stop_o ;
297 2 mihad
 
298 21 mihad
// data transfer pins
299 77 mihad
input   [31:0]  pci_ad_i ;
300
output  [31:0]  pci_ad_o ;
301 2 mihad
 
302 77 mihad
input   [3:0]   pci_cbe_i ;
303
output  [3:0]   pci_cbe_o ;
304 2 mihad
 
305
// parity generation and checking pins
306 77 mihad
input   pci_par_i ;
307
output  pci_par_o ;
308
output  pci_par_oe_o ;
309 2 mihad
 
310 77 mihad
input   pci_perr_i ;
311
output  pci_perr_o ;
312
output  pci_perr_oe_o ;
313 2 mihad
 
314
// system error pin
315 77 mihad
output  pci_serr_o ;
316
output  pci_serr_oe_o ;
317 2 mihad
 
318 62 mihad
`ifdef PCI_BIST
319
/*-----------------------------------------------------
320
BIST debug chain port signals
321
-----------------------------------------------------*/
322 122 markom
input   mbist_si_i;       // bist scan serial in
323
output  mbist_so_o;       // bist scan serial out
324
input [`PCI_MBIST_CTRL_WIDTH - 1:0] mbist_ctrl_i;       // bist chain shift control
325 62 mihad
 
326
// internal wires for serial chain connection
327
wire SO_internal ;
328
wire SI_internal = SO_internal ;
329
`endif
330
 
331 2 mihad
// declare clock and reset wires
332 77 mihad
wire pci_clk = pci_clk_i ;
333
wire wb_clk  = wb_clk_i ;
334 21 mihad
wire reset ; // assigned at pci bridge reset and interrupt logic
335 2 mihad
 
336 21 mihad
/*=========================================================================================================
337
First comes definition of all modules' outputs, so they can be assigned to any other module's input later
338
  in the file, when module is instantiated
339
=========================================================================================================*/
340
// PCI BRIDGE RESET AND INTERRUPT LOGIC OUTPUTS
341
wire    pci_reso_reset ;
342
wire    pci_reso_pci_rstn_out ;
343
wire    pci_reso_pci_rstn_en_out ;
344
wire    pci_reso_rst_o ;
345
wire    pci_into_pci_intan_out ;
346
wire    pci_into_pci_intan_en_out ;
347
wire    pci_into_int_o ;
348
wire    pci_into_conf_isr_int_prop_out ;
349 2 mihad
 
350 21 mihad
// assign pci bridge reset interrupt logic outputs to top outputs where possible
351
assign reset            = pci_reso_reset ;
352 77 mihad
assign pci_rst_o     = pci_reso_pci_rstn_out ;
353
assign pci_rst_oe_o  = pci_reso_pci_rstn_en_out ;
354
assign wb_rst_o         = pci_reso_rst_o ;
355
assign pci_inta_o    = pci_into_pci_intan_out ;
356
assign pci_inta_oe_o = pci_into_pci_intan_en_out ;
357
assign wb_int_o         = pci_into_int_o ;
358 2 mihad
 
359
// WISHBONE SLAVE UNIT OUTPUTS
360
wire    [31:0]  wbu_sdata_out ;
361
wire            wbu_ack_out ;
362
wire            wbu_rty_out ;
363
wire            wbu_err_out ;
364
wire            wbu_pciif_req_out ;
365
wire            wbu_pciif_frame_out ;
366
wire            wbu_pciif_frame_en_out ;
367
wire            wbu_pciif_irdy_out ;
368
wire            wbu_pciif_irdy_en_out ;
369
wire    [31:0]  wbu_pciif_ad_out ;
370
wire            wbu_pciif_ad_en_out ;
371
wire    [3:0]   wbu_pciif_cbe_out ;
372
wire            wbu_pciif_cbe_en_out ;
373
wire    [31:0]  wbu_err_addr_out ;
374
wire    [3:0]   wbu_err_bc_out ;
375
wire            wbu_err_signal_out ;
376
wire            wbu_err_source_out ;
377
wire            wbu_err_rty_exp_out ;
378
wire            wbu_tabort_rec_out ;
379
wire            wbu_mabort_rec_out ;
380
wire    [11:0]  wbu_conf_offset_out ;
381
wire            wbu_conf_renable_out ;
382
wire            wbu_conf_wenable_out ;
383
wire    [3:0]   wbu_conf_be_out ;
384
wire    [31:0]  wbu_conf_data_out ;
385
wire            wbu_del_read_comp_pending_out ;
386
wire            wbu_wbw_fifo_empty_out ;
387 21 mihad
wire            wbu_ad_load_out ;
388
wire            wbu_ad_load_on_transfer_out ;
389 2 mihad
wire            wbu_pciif_frame_load_out ;
390
 
391
// PCI TARGET UNIT OUTPUTS
392 21 mihad
wire    [31:0]  pciu_adr_out ;
393 2 mihad
wire    [31:0]  pciu_mdata_out ;
394
wire            pciu_cyc_out ;
395
wire            pciu_stb_out ;
396
wire            pciu_we_out ;
397 115 tadejm
wire    [2:0]   pciu_cti_out ;
398
wire    [1:0]   pciu_bte_out ;
399 2 mihad
wire    [3:0]   pciu_sel_out ;
400 21 mihad
wire            pciu_pciif_trdy_out ;
401
wire            pciu_pciif_stop_out ;
402
wire            pciu_pciif_devsel_out ;
403 2 mihad
wire            pciu_pciif_trdy_en_out ;
404
wire            pciu_pciif_stop_en_out ;
405
wire            pciu_pciif_devsel_en_out ;
406 21 mihad
wire            pciu_ad_load_out ;
407
wire            pciu_ad_load_on_transfer_out ;
408
wire   [31:0]   pciu_pciif_ad_out ;
409
wire            pciu_pciif_ad_en_out ;
410
wire            pciu_pciif_tabort_set_out ;
411 2 mihad
wire    [31:0]  pciu_err_addr_out ;
412
wire    [3:0]   pciu_err_bc_out ;
413
wire    [31:0]  pciu_err_data_out ;
414
wire    [3:0]   pciu_err_be_out ;
415
wire            pciu_err_signal_out ;
416
wire            pciu_err_source_out ;
417
wire            pciu_err_rty_exp_out ;
418 21 mihad
wire            pciu_conf_select_out ;
419 2 mihad
wire    [11:0]  pciu_conf_offset_out ;
420
wire            pciu_conf_renable_out ;
421
wire            pciu_conf_wenable_out ;
422
wire    [3:0]   pciu_conf_be_out ;
423
wire    [31:0]  pciu_conf_data_out ;
424 21 mihad
wire            pciu_pci_drcomp_pending_out ;
425
wire            pciu_pciw_fifo_empty_out ;
426 2 mihad
 
427
// assign pci target unit's outputs to top outputs where possible
428 77 mihad
assign wbm_adr_o    =   pciu_adr_out ;
429 115 tadejm
assign wbm_dat_o    =   pciu_mdata_out ;
430 77 mihad
assign wbm_cyc_o    =   pciu_cyc_out ;
431
assign wbm_stb_o    =   pciu_stb_out ;
432
assign wbm_we_o     =   pciu_we_out ;
433 115 tadejm
assign wbm_cti_o    =   pciu_cti_out ;
434
assign wbm_bte_o    =   pciu_bte_out ;
435 77 mihad
assign wbm_sel_o    =   pciu_sel_out ;
436 2 mihad
 
437
// CONFIGURATION SPACE OUTPUTS
438
wire    [31:0]  conf_w_data_out ;
439
wire    [31:0]  conf_r_data_out ;
440
wire            conf_serr_enable_out ;
441
wire            conf_perr_response_out ;
442
wire            conf_pci_master_enable_out ;
443
wire            conf_mem_space_enable_out ;
444
wire            conf_io_space_enable_out ;
445 21 mihad
wire    [7:0]   conf_cache_line_size_to_pci_out ;
446
wire    [7:0]   conf_cache_line_size_to_wb_out ;
447
wire            conf_cache_lsize_not_zero_to_wb_out ;
448 2 mihad
wire    [7:0]   conf_latency_tim_out ;
449
 
450 21 mihad
wire   [19:(20 - `PCI_NUM_OF_DEC_ADDR_LINES)]   conf_pci_ba0_out ;
451
wire   [19:(20 - `PCI_NUM_OF_DEC_ADDR_LINES)]   conf_pci_ba1_out ;
452
wire   [19:(20 - `PCI_NUM_OF_DEC_ADDR_LINES)]   conf_pci_ba2_out ;
453
wire   [19:(20 - `PCI_NUM_OF_DEC_ADDR_LINES)]   conf_pci_ba3_out ;
454
wire   [19:(20 - `PCI_NUM_OF_DEC_ADDR_LINES)]   conf_pci_ba4_out ;
455
wire   [19:(20 - `PCI_NUM_OF_DEC_ADDR_LINES)]   conf_pci_ba5_out ;
456
wire   [19:(20 - `PCI_NUM_OF_DEC_ADDR_LINES)]   conf_pci_ta0_out ;
457
wire   [19:(20 - `PCI_NUM_OF_DEC_ADDR_LINES)]   conf_pci_ta1_out ;
458
wire   [19:(20 - `PCI_NUM_OF_DEC_ADDR_LINES)]   conf_pci_ta2_out ;
459
wire   [19:(20 - `PCI_NUM_OF_DEC_ADDR_LINES)]   conf_pci_ta3_out ;
460
wire   [19:(20 - `PCI_NUM_OF_DEC_ADDR_LINES)]   conf_pci_ta4_out ;
461
wire   [19:(20 - `PCI_NUM_OF_DEC_ADDR_LINES)]   conf_pci_ta5_out ;
462
wire   [19:(20 - `PCI_NUM_OF_DEC_ADDR_LINES)]   conf_pci_am0_out ;
463
wire   [19:(20 - `PCI_NUM_OF_DEC_ADDR_LINES)]   conf_pci_am1_out ;
464
wire   [19:(20 - `PCI_NUM_OF_DEC_ADDR_LINES)]   conf_pci_am2_out ;
465
wire   [19:(20 - `PCI_NUM_OF_DEC_ADDR_LINES)]   conf_pci_am3_out ;
466
wire   [19:(20 - `PCI_NUM_OF_DEC_ADDR_LINES)]   conf_pci_am4_out ;
467
wire   [19:(20 - `PCI_NUM_OF_DEC_ADDR_LINES)]   conf_pci_am5_out ;
468
 
469 2 mihad
wire            conf_pci_mem_io0_out ;
470
wire            conf_pci_mem_io1_out ;
471
wire            conf_pci_mem_io2_out ;
472
wire            conf_pci_mem_io3_out ;
473
wire            conf_pci_mem_io4_out ;
474
wire            conf_pci_mem_io5_out ;
475
 
476
wire    [1:0]   conf_pci_img_ctrl0_out ;
477
wire    [1:0]   conf_pci_img_ctrl1_out ;
478
wire    [1:0]   conf_pci_img_ctrl2_out ;
479
wire    [1:0]   conf_pci_img_ctrl3_out ;
480
wire    [1:0]   conf_pci_img_ctrl4_out ;
481
wire    [1:0]   conf_pci_img_ctrl5_out ;
482
 
483 21 mihad
wire    [19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)]  conf_wb_ba0_out ;
484
wire    [19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)]  conf_wb_ba1_out ;
485
wire    [19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)]  conf_wb_ba2_out ;
486
wire    [19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)]  conf_wb_ba3_out ;
487
wire    [19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)]  conf_wb_ba4_out ;
488
wire    [19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)]  conf_wb_ba5_out ;
489 2 mihad
 
490
wire            conf_wb_mem_io0_out ;
491
wire            conf_wb_mem_io1_out ;
492
wire            conf_wb_mem_io2_out ;
493
wire            conf_wb_mem_io3_out ;
494
wire            conf_wb_mem_io4_out ;
495
wire            conf_wb_mem_io5_out ;
496
 
497 21 mihad
wire    [19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)]  conf_wb_am0_out ;
498
wire    [19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)]  conf_wb_am1_out ;
499
wire    [19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)]  conf_wb_am2_out ;
500
wire    [19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)]  conf_wb_am3_out ;
501
wire    [19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)]  conf_wb_am4_out ;
502
wire    [19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)]  conf_wb_am5_out ;
503
wire    [19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)]  conf_wb_ta0_out ;
504
wire    [19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)]  conf_wb_ta1_out ;
505
wire    [19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)]  conf_wb_ta2_out ;
506
wire    [19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)]  conf_wb_ta3_out ;
507
wire    [19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)]  conf_wb_ta4_out ;
508
wire    [19:(20 - `WB_NUM_OF_DEC_ADDR_LINES)]  conf_wb_ta5_out ;
509 2 mihad
wire    [2:0]   conf_wb_img_ctrl0_out ;
510
wire    [2:0]   conf_wb_img_ctrl1_out ;
511
wire    [2:0]   conf_wb_img_ctrl2_out ;
512
wire    [2:0]   conf_wb_img_ctrl3_out ;
513
wire    [2:0]   conf_wb_img_ctrl4_out ;
514
wire    [2:0]   conf_wb_img_ctrl5_out ;
515
wire    [23:0]  conf_ccyc_addr_out ;
516
wire            conf_soft_res_out ;
517 21 mihad
wire            conf_int_out ;
518 2 mihad
 
519
// PCI IO MUX OUTPUTS
520
wire        pci_mux_frame_out ;
521
wire        pci_mux_irdy_out ;
522
wire        pci_mux_devsel_out ;
523
wire        pci_mux_trdy_out ;
524
wire        pci_mux_stop_out ;
525
wire [3:0]  pci_mux_cbe_out ;
526
wire [31:0] pci_mux_ad_out ;
527 21 mihad
wire        pci_mux_ad_load_out ;
528 2 mihad
 
529
wire [31:0] pci_mux_ad_en_out ;
530 21 mihad
wire        pci_mux_ad_en_unregistered_out ;
531 2 mihad
wire        pci_mux_frame_en_out ;
532
wire        pci_mux_irdy_en_out ;
533
wire        pci_mux_devsel_en_out ;
534
wire        pci_mux_trdy_en_out ;
535
wire        pci_mux_stop_en_out ;
536
wire [3:0]  pci_mux_cbe_en_out ;
537
 
538
wire        pci_mux_par_out ;
539
wire        pci_mux_par_en_out ;
540
wire        pci_mux_perr_out ;
541
wire        pci_mux_perr_en_out ;
542
wire        pci_mux_serr_out ;
543
wire        pci_mux_serr_en_out ;
544
 
545
wire        pci_mux_req_out ;
546
wire        pci_mux_req_en_out ;
547
 
548
// assign outputs to top level outputs
549
 
550 77 mihad
assign pci_ad_oe_o       = pci_mux_ad_en_out ;
551
assign pci_frame_oe_o   = pci_mux_frame_en_out ;
552
assign pci_irdy_oe_o    = pci_mux_irdy_en_out ;
553
assign pci_cbe_oe_o     = pci_mux_cbe_en_out ;
554 2 mihad
 
555 77 mihad
assign pci_par_o         =   pci_mux_par_out ;
556
assign pci_par_oe_o      =   pci_mux_par_en_out ;
557
assign pci_perr_o       =   pci_mux_perr_out ;
558
assign pci_perr_oe_o    =   pci_mux_perr_en_out ;
559
assign pci_serr_o       =   pci_mux_serr_out ;
560
assign pci_serr_oe_o    =   pci_mux_serr_en_out ;
561 2 mihad
 
562 77 mihad
assign pci_req_o        =   pci_mux_req_out ;
563
assign pci_req_oe_o     =   pci_mux_req_en_out ;
564 2 mihad
 
565 77 mihad
assign pci_trdy_oe_o    = pci_mux_trdy_en_out ;
566
assign pci_devsel_oe_o  = pci_mux_devsel_en_out ;
567
assign pci_stop_oe_o    = pci_mux_stop_en_out ;
568
assign pci_trdy_o       =  pci_mux_trdy_out ;
569
assign pci_devsel_o     = pci_mux_devsel_out ;
570
assign pci_stop_o       = pci_mux_stop_out ;
571 2 mihad
 
572 77 mihad
assign pci_ad_o          = pci_mux_ad_out ;
573
assign pci_frame_o      = pci_mux_frame_out ;
574
assign pci_irdy_o       = pci_mux_irdy_out ;
575
assign pci_cbe_o        = pci_mux_cbe_out ;
576 2 mihad
 
577
// duplicate output register's outputs
578
wire            out_bckp_frame_out ;
579
wire            out_bckp_irdy_out ;
580
wire            out_bckp_devsel_out ;
581
wire            out_bckp_trdy_out ;
582
wire            out_bckp_stop_out ;
583
wire    [3:0]   out_bckp_cbe_out ;
584
wire            out_bckp_cbe_en_out ;
585
wire    [31:0]  out_bckp_ad_out ;
586
wire            out_bckp_ad_en_out ;
587 21 mihad
wire            out_bckp_irdy_en_out ;
588 2 mihad
wire            out_bckp_frame_en_out ;
589
wire            out_bckp_tar_ad_en_out ;
590
wire            out_bckp_mas_ad_en_out ;
591
wire            out_bckp_trdy_en_out ;
592
 
593
wire            out_bckp_par_out ;
594
wire            out_bckp_par_en_out ;
595
wire            out_bckp_perr_out ;
596
wire            out_bckp_perr_en_out ;
597
wire            out_bckp_serr_out ;
598
wire            out_bckp_serr_en_out ;
599
 
600
 
601
// PARITY CHECKER OUTPUTS
602
wire    parchk_pci_par_out ;
603
wire    parchk_pci_par_en_out ;
604 21 mihad
wire    parchk_pci_perr_out ;
605 2 mihad
wire    parchk_pci_perr_en_out ;
606 21 mihad
wire    parchk_pci_serr_out ;
607 2 mihad
wire    parchk_pci_serr_en_out ;
608
wire    parchk_par_err_detect_out ;
609
wire    parchk_perr_mas_detect_out ;
610
wire    parchk_sig_serr_out ;
611
 
612
// input register outputs
613
wire            in_reg_gnt_out ;
614
wire            in_reg_frame_out ;
615
wire            in_reg_irdy_out ;
616
wire            in_reg_trdy_out ;
617
wire            in_reg_stop_out ;
618
wire            in_reg_devsel_out ;
619 21 mihad
wire            in_reg_idsel_out ;
620 2 mihad
wire    [31:0]  in_reg_ad_out ;
621
wire    [3:0]   in_reg_cbe_out ;
622
 
623 21 mihad
/*=========================================================================================================
624
Now comes definition of all modules' and their appropriate inputs
625
=========================================================================================================*/
626
// PCI BRIDGE RESET AND INTERRUPT LOGIC INPUTS
627 77 mihad
wire    pci_resi_rst_i                  = wb_rst_i ;
628
wire    pci_resi_pci_rstn_in            = pci_rst_i ;
629 21 mihad
wire    pci_resi_conf_soft_res_in       = conf_soft_res_out ;
630 77 mihad
wire    pci_inti_pci_intan_in           = pci_inta_i ;
631 21 mihad
wire    pci_inti_conf_int_in            = conf_int_out ;
632 77 mihad
wire    pci_inti_int_i                  = wb_int_i ;
633 21 mihad
wire    pci_inti_out_bckp_perr_en_in    = out_bckp_perr_en_out ;
634
wire    pci_inti_out_bckp_serr_en_in    = out_bckp_serr_en_out ;
635 2 mihad
 
636 77 mihad
pci_rst_int pci_resets_and_interrupts
637 21 mihad
(
638
    .clk_in                 (pci_clk),
639
    .rst_i                  (pci_resi_rst_i),
640
    .pci_rstn_in            (pci_resi_pci_rstn_in),
641
    .conf_soft_res_in       (pci_resi_conf_soft_res_in),
642
    .reset                  (pci_reso_reset),
643
    .pci_rstn_out           (pci_reso_pci_rstn_out),
644
    .pci_rstn_en_out        (pci_reso_pci_rstn_en_out),
645
    .rst_o                  (pci_reso_rst_o),
646
    .pci_intan_in           (pci_inti_pci_intan_in),
647
    .conf_int_in            (pci_inti_conf_int_in),
648
    .int_i                  (pci_inti_int_i),
649
    .out_bckp_perr_en_in    (pci_inti_out_bckp_perr_en_in),
650
    .out_bckp_serr_en_in    (pci_inti_out_bckp_serr_en_in),
651
    .pci_intan_out          (pci_into_pci_intan_out),
652
    .pci_intan_en_out       (pci_into_pci_intan_en_out),
653
    .int_o                  (pci_into_int_o),
654
    .conf_isr_int_prop_out  (pci_into_conf_isr_int_prop_out)
655
);
656 2 mihad
 
657 106 mihad
 
658
`ifdef PCI_WB_REV_B3
659
 
660
wire            wbs_wbb3_2_wbb2_cyc_o   ;
661
wire            wbs_wbb3_2_wbb2_stb_o   ;
662
wire    [31:0]  wbs_wbb3_2_wbb2_adr_o   ;
663
wire    [31:0]  wbs_wbb3_2_wbb2_dat_i_o ;
664
wire    [31:0]  wbs_wbb3_2_wbb2_dat_o_o ;
665
wire            wbs_wbb3_2_wbb2_we_o    ;
666
wire    [ 3:0]  wbs_wbb3_2_wbb2_sel_o   ;
667
wire            wbs_wbb3_2_wbb2_ack_o   ;
668
wire            wbs_wbb3_2_wbb2_err_o   ;
669
wire            wbs_wbb3_2_wbb2_rty_o   ;
670
wire            wbs_wbb3_2_wbb2_cab_o   ;
671
 
672
// assign wishbone slave unit's outputs to top outputs where possible
673
assign wbs_dat_o    =   wbs_wbb3_2_wbb2_dat_o_o ;
674
assign wbs_ack_o    =   wbs_wbb3_2_wbb2_ack_o   ;
675
assign wbs_rty_o    =   wbs_wbb3_2_wbb2_rty_o   ;
676
assign wbs_err_o    =   wbs_wbb3_2_wbb2_err_o       ;
677
 
678
wire            wbs_wbb3_2_wbb2_cyc_i   =   wbs_cyc_i       ;
679
wire            wbs_wbb3_2_wbb2_stb_i   =   wbs_stb_i       ;
680
wire            wbs_wbb3_2_wbb2_we_i    =   wbs_we_i        ;
681
wire            wbs_wbb3_2_wbb2_ack_i   =   wbu_ack_out     ;
682
wire            wbs_wbb3_2_wbb2_err_i   =   wbu_err_out     ;
683
wire            wbs_wbb3_2_wbb2_rty_i   =   wbu_rty_out     ;
684
wire    [31:0]  wbs_wbb3_2_wbb2_adr_i   =   wbs_adr_i       ;
685
wire    [ 3:0]  wbs_wbb3_2_wbb2_sel_i   =   wbs_sel_i       ;
686
wire    [31:0]  wbs_wbb3_2_wbb2_dat_i_i =   wbs_dat_i       ;
687
wire    [31:0]  wbs_wbb3_2_wbb2_dat_o_i =   wbu_sdata_out   ;
688
wire    [ 2:0]  wbs_wbb3_2_wbb2_cti_i   =   wbs_cti_i       ;
689
wire    [ 1:0]  wbs_wbb3_2_wbb2_bte_i   =   wbs_bte_i       ;
690
 
691
pci_wbs_wbb3_2_wbb2 i_pci_wbs_wbb3_2_wbb2
692
(
693
    .wb_clk_i       (   wb_clk_i    )   ,
694
    .wb_rst_i       (   wb_rst_i    )   ,
695
 
696
    .wbs_cyc_i      (   wbs_wbb3_2_wbb2_cyc_i   )   ,
697
    .wbs_cyc_o      (   wbs_wbb3_2_wbb2_cyc_o   )   ,
698
    .wbs_stb_i      (   wbs_wbb3_2_wbb2_stb_i   )   ,
699
    .wbs_stb_o      (   wbs_wbb3_2_wbb2_stb_o   )   ,
700
    .wbs_adr_i      (   wbs_wbb3_2_wbb2_adr_i   )   ,
701
    .wbs_adr_o      (   wbs_wbb3_2_wbb2_adr_o   )   ,
702
    .wbs_dat_i_i    (   wbs_wbb3_2_wbb2_dat_i_i )   ,
703
    .wbs_dat_i_o    (   wbs_wbb3_2_wbb2_dat_i_o )   ,
704
    .wbs_dat_o_i    (   wbs_wbb3_2_wbb2_dat_o_i )   ,
705
    .wbs_dat_o_o    (   wbs_wbb3_2_wbb2_dat_o_o )   ,
706
    .wbs_we_i       (   wbs_wbb3_2_wbb2_we_i    )   ,
707
    .wbs_we_o       (   wbs_wbb3_2_wbb2_we_o    )   ,
708
    .wbs_sel_i      (   wbs_wbb3_2_wbb2_sel_i   )   ,
709
    .wbs_sel_o      (   wbs_wbb3_2_wbb2_sel_o   )   ,
710
    .wbs_ack_i      (   wbs_wbb3_2_wbb2_ack_i   )   ,
711
    .wbs_ack_o      (   wbs_wbb3_2_wbb2_ack_o   )   ,
712
    .wbs_err_i      (   wbs_wbb3_2_wbb2_err_i   )   ,
713
    .wbs_err_o      (   wbs_wbb3_2_wbb2_err_o   )   ,
714
    .wbs_rty_i      (   wbs_wbb3_2_wbb2_rty_i   )   ,
715
    .wbs_rty_o      (   wbs_wbb3_2_wbb2_rty_o   )   ,
716
    .wbs_cti_i      (   wbs_wbb3_2_wbb2_cti_i   )   ,
717
    .wbs_bte_i      (   wbs_wbb3_2_wbb2_bte_i   )   ,
718
    .wbs_cab_o      (   wbs_wbb3_2_wbb2_cab_o   )
719
) ;
720
 
721 2 mihad
// WISHBONE SLAVE UNIT INPUTS
722 106 mihad
wire    [31:0]  wbu_addr_in     =   wbs_wbb3_2_wbb2_adr_o   ;
723
wire    [31:0]  wbu_sdata_in    =   wbs_wbb3_2_wbb2_dat_i_o ;
724
wire            wbu_cyc_in      =   wbs_wbb3_2_wbb2_cyc_o   ;
725
wire            wbu_stb_in      =   wbs_wbb3_2_wbb2_stb_o   ;
726
wire            wbu_we_in       =   wbs_wbb3_2_wbb2_we_o    ;
727
wire    [3:0]   wbu_sel_in      =   wbs_wbb3_2_wbb2_sel_o   ;
728
wire            wbu_cab_in      =   wbs_wbb3_2_wbb2_cab_o   ;
729
 
730
`else
731
 
732
// WISHBONE SLAVE UNIT INPUTS
733 77 mihad
wire    [31:0]  wbu_addr_in                     =   wbs_adr_i ;
734
wire    [31:0]  wbu_sdata_in                    =   wbs_dat_i ;
735
wire            wbu_cyc_in                      =   wbs_cyc_i ;
736
wire            wbu_stb_in                      =   wbs_stb_i ;
737
wire            wbu_we_in                       =   wbs_we_i ;
738
wire    [3:0]   wbu_sel_in                      =   wbs_sel_i ;
739
wire            wbu_cab_in                      =   wbs_cab_i ;
740 2 mihad
 
741 106 mihad
// assign wishbone slave unit's outputs to top outputs where possible
742
assign wbs_dat_o    =   wbu_sdata_out   ;
743
assign wbs_ack_o    =   wbu_ack_out     ;
744
assign wbs_rty_o    =   wbu_rty_out     ;
745
assign wbs_err_o    =   wbu_err_out     ;
746
 
747
`endif
748
 
749 2 mihad
wire    [5:0]   wbu_map_in                      =   {
750
                                                     conf_wb_mem_io5_out,
751
                                                     conf_wb_mem_io4_out,
752
                                                     conf_wb_mem_io3_out,
753
                                                     conf_wb_mem_io2_out,
754
                                                     conf_wb_mem_io1_out,
755
                                                     conf_wb_mem_io0_out
756
                                                    } ;
757
 
758
wire    [5:0]   wbu_pref_en_in                  =   {
759
                                                     conf_wb_img_ctrl5_out[1],
760
                                                     conf_wb_img_ctrl4_out[1],
761
                                                     conf_wb_img_ctrl3_out[1],
762
                                                     conf_wb_img_ctrl2_out[1],
763
                                                     conf_wb_img_ctrl1_out[1],
764
                                                     conf_wb_img_ctrl0_out[1]
765
                                                    };
766
wire    [5:0]   wbu_mrl_en_in                   =   {
767
                                                     conf_wb_img_ctrl5_out[0],
768
                                                     conf_wb_img_ctrl4_out[0],
769
                                                     conf_wb_img_ctrl3_out[0],
770
                                                     conf_wb_img_ctrl2_out[0],
771
                                                     conf_wb_img_ctrl1_out[0],
772
                                                     conf_wb_img_ctrl0_out[0]
773
                                                    };
774
 
775
wire    [5:0]   wbu_at_en_in                    =   {
776
                                                     conf_wb_img_ctrl5_out[2],
777
                                                     conf_wb_img_ctrl4_out[2],
778
                                                     conf_wb_img_ctrl3_out[2],
779
                                                     conf_wb_img_ctrl2_out[2],
780
                                                     conf_wb_img_ctrl1_out[2],
781
                                                     conf_wb_img_ctrl0_out[2]
782
                                                    } ;
783
 
784
wire            wbu_pci_drcomp_pending_in       =   pciu_pci_drcomp_pending_out ;
785
wire            wbu_pciw_empty_in               =   pciu_pciw_fifo_empty_out ;
786
 
787
`ifdef HOST
788
    wire    [31:0]  wbu_conf_data_in            =   conf_w_data_out ;
789
`else
790
`ifdef GUEST
791
    wire    [31:0]  wbu_conf_data_in            =   conf_r_data_out ;
792
`endif
793
`endif
794
 
795 21 mihad
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar0_in  =   conf_wb_ba0_out ;
796
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar1_in  =   conf_wb_ba1_out ;
797
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar2_in  =   conf_wb_ba2_out ;
798
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar3_in  =   conf_wb_ba3_out ;
799
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar4_in  =   conf_wb_ba4_out ;
800
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_bar5_in  =   conf_wb_ba5_out ;
801
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am0_in   =   conf_wb_am0_out ;
802
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am1_in   =   conf_wb_am1_out ;
803
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am2_in   =   conf_wb_am2_out ;
804
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am3_in   =   conf_wb_am3_out ;
805
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am4_in   =   conf_wb_am4_out ;
806
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_am5_in   =   conf_wb_am5_out ;
807
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta0_in   =   conf_wb_ta0_out ;
808
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta1_in   =   conf_wb_ta1_out ;
809
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta2_in   =   conf_wb_ta2_out ;
810
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta3_in   =   conf_wb_ta3_out ;
811
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta4_in   =   conf_wb_ta4_out ;
812
wire   [(`WB_NUM_OF_DEC_ADDR_LINES - 1):0] wbu_ta5_in   =   conf_wb_ta5_out ;
813 2 mihad
 
814
wire    [23:0]  wbu_ccyc_addr_in                        =   conf_ccyc_addr_out ;
815
wire            wbu_master_enable_in                    =   conf_pci_master_enable_out ;
816 21 mihad
wire            wbu_cache_line_size_not_zero            =   conf_cache_lsize_not_zero_to_wb_out ;
817
wire    [7:0]   wbu_cache_line_size_in                  =   conf_cache_line_size_to_pci_out ;
818 2 mihad
 
819 77 mihad
wire            wbu_pciif_gnt_in                        = pci_gnt_i ;
820 2 mihad
wire            wbu_pciif_frame_in                      = in_reg_frame_out ;
821
wire            wbu_pciif_irdy_in                       = in_reg_irdy_out ;
822 77 mihad
wire            wbu_pciif_trdy_in                       = pci_trdy_i ;
823
wire            wbu_pciif_stop_in                       = pci_stop_i ;
824
wire            wbu_pciif_devsel_in                     = pci_devsel_i ;
825 2 mihad
wire    [31:0]  wbu_pciif_ad_reg_in                     = in_reg_ad_out ;
826
wire            wbu_pciif_trdy_reg_in                   = in_reg_trdy_out ;
827
wire            wbu_pciif_stop_reg_in                   = in_reg_stop_out ;
828
wire            wbu_pciif_devsel_reg_in                 = in_reg_devsel_out ;
829
 
830
 
831
wire    [7:0]   wbu_latency_tim_val_in                  = conf_latency_tim_out ;
832
 
833
wire            wbu_pciif_frame_en_in                   = out_bckp_frame_en_out ;
834
wire            wbu_pciif_frame_out_in                  = out_bckp_frame_out ;
835
 
836 77 mihad
pci_wb_slave_unit wishbone_slave_unit
837 2 mihad
(
838
    .reset_in                      (reset),
839
    .wb_clock_in                   (wb_clk),
840
    .pci_clock_in                  (pci_clk),
841
    .ADDR_I                        (wbu_addr_in),
842
    .SDATA_I                       (wbu_sdata_in),
843
    .SDATA_O                       (wbu_sdata_out),
844
    .CYC_I                         (wbu_cyc_in),
845
    .STB_I                         (wbu_stb_in),
846
    .WE_I                          (wbu_we_in),
847
    .SEL_I                         (wbu_sel_in),
848
    .ACK_O                         (wbu_ack_out),
849
    .RTY_O                         (wbu_rty_out),
850
    .ERR_O                         (wbu_err_out),
851
    .CAB_I                         (wbu_cab_in),
852
    .wbu_map_in                    (wbu_map_in),
853
    .wbu_pref_en_in                (wbu_pref_en_in),
854
    .wbu_mrl_en_in                 (wbu_mrl_en_in),
855
    .wbu_pci_drcomp_pending_in     (wbu_pci_drcomp_pending_in),
856
    .wbu_conf_data_in              (wbu_conf_data_in),
857
    .wbu_pciw_empty_in             (wbu_pciw_empty_in),
858
    .wbu_bar0_in                   (wbu_bar0_in),
859
    .wbu_bar1_in                   (wbu_bar1_in),
860
    .wbu_bar2_in                   (wbu_bar2_in),
861
    .wbu_bar3_in                   (wbu_bar3_in),
862
    .wbu_bar4_in                   (wbu_bar4_in),
863
    .wbu_bar5_in                   (wbu_bar5_in),
864
    .wbu_am0_in                    (wbu_am0_in),
865
    .wbu_am1_in                    (wbu_am1_in),
866
    .wbu_am2_in                    (wbu_am2_in),
867
    .wbu_am3_in                    (wbu_am3_in),
868
    .wbu_am4_in                    (wbu_am4_in),
869
    .wbu_am5_in                    (wbu_am5_in),
870
    .wbu_ta0_in                    (wbu_ta0_in),
871
    .wbu_ta1_in                    (wbu_ta1_in),
872
    .wbu_ta2_in                    (wbu_ta2_in),
873
    .wbu_ta3_in                    (wbu_ta3_in),
874
    .wbu_ta4_in                    (wbu_ta4_in),
875
    .wbu_ta5_in                    (wbu_ta5_in),
876
    .wbu_at_en_in                  (wbu_at_en_in),
877
    .wbu_ccyc_addr_in              (wbu_ccyc_addr_in),
878
    .wbu_master_enable_in          (wbu_master_enable_in),
879 21 mihad
    .wbu_cache_line_size_not_zero  (wbu_cache_line_size_not_zero),
880 2 mihad
    .wbu_cache_line_size_in        (wbu_cache_line_size_in),
881
    .wbu_pciif_gnt_in              (wbu_pciif_gnt_in),
882
    .wbu_pciif_frame_in            (wbu_pciif_frame_in),
883
    .wbu_pciif_frame_en_in         (wbu_pciif_frame_en_in),
884
    .wbu_pciif_frame_out_in        (wbu_pciif_frame_out_in),
885
    .wbu_pciif_irdy_in             (wbu_pciif_irdy_in),
886
    .wbu_pciif_trdy_in             (wbu_pciif_trdy_in),
887
    .wbu_pciif_stop_in             (wbu_pciif_stop_in),
888
    .wbu_pciif_devsel_in           (wbu_pciif_devsel_in),
889
    .wbu_pciif_ad_reg_in           (wbu_pciif_ad_reg_in),
890
    .wbu_pciif_req_out             (wbu_pciif_req_out),
891
    .wbu_pciif_frame_out           (wbu_pciif_frame_out),
892
    .wbu_pciif_frame_en_out        (wbu_pciif_frame_en_out),
893
    .wbu_pciif_frame_load_out      (wbu_pciif_frame_load_out),
894
    .wbu_pciif_irdy_out            (wbu_pciif_irdy_out),
895
    .wbu_pciif_irdy_en_out         (wbu_pciif_irdy_en_out),
896
    .wbu_pciif_ad_out              (wbu_pciif_ad_out),
897
    .wbu_pciif_ad_en_out           (wbu_pciif_ad_en_out),
898
    .wbu_pciif_cbe_out             (wbu_pciif_cbe_out),
899
    .wbu_pciif_cbe_en_out          (wbu_pciif_cbe_en_out),
900
    .wbu_err_addr_out              (wbu_err_addr_out),
901
    .wbu_err_bc_out                (wbu_err_bc_out),
902
    .wbu_err_signal_out            (wbu_err_signal_out),
903
    .wbu_err_source_out            (wbu_err_source_out),
904
    .wbu_err_rty_exp_out           (wbu_err_rty_exp_out),
905
    .wbu_tabort_rec_out            (wbu_tabort_rec_out),
906
    .wbu_mabort_rec_out            (wbu_mabort_rec_out),
907
    .wbu_conf_offset_out           (wbu_conf_offset_out),
908
    .wbu_conf_renable_out          (wbu_conf_renable_out),
909
    .wbu_conf_wenable_out          (wbu_conf_wenable_out),
910
    .wbu_conf_be_out               (wbu_conf_be_out),
911
    .wbu_conf_data_out             (wbu_conf_data_out),
912
    .wbu_del_read_comp_pending_out (wbu_del_read_comp_pending_out),
913
    .wbu_wbw_fifo_empty_out        (wbu_wbw_fifo_empty_out),
914
    .wbu_latency_tim_val_in        (wbu_latency_tim_val_in),
915 21 mihad
    .wbu_ad_load_out               (wbu_ad_load_out),
916
    .wbu_ad_load_on_transfer_out   (wbu_ad_load_on_transfer_out),
917 2 mihad
    .wbu_pciif_trdy_reg_in         (wbu_pciif_trdy_reg_in),
918
    .wbu_pciif_stop_reg_in         (wbu_pciif_stop_reg_in),
919
    .wbu_pciif_devsel_reg_in       (wbu_pciif_devsel_reg_in)
920 62 mihad
 
921
`ifdef PCI_BIST
922
    ,
923 122 markom
    .mbist_si_i       (mbist_si_i),
924
    .mbist_so_o       (mbist_so_o_internal),
925
    .mbist_ctrl_i       (mbist_ctrl_i)
926 62 mihad
`endif
927 2 mihad
);
928
 
929
// PCI TARGET UNIT INPUTS
930 77 mihad
wire    [31:0]  pciu_mdata_in                   =   wbm_dat_i ;
931
wire            pciu_ack_in                     =   wbm_ack_i ;
932
wire            pciu_rty_in                     =   wbm_rty_i ;
933
wire            pciu_err_in                     =   wbm_err_i ;
934 2 mihad
 
935
wire    [5:0]   pciu_map_in                     =   {
936
                                                     conf_pci_mem_io5_out,
937
                                                     conf_pci_mem_io4_out,
938
                                                     conf_pci_mem_io3_out,
939
                                                     conf_pci_mem_io2_out,
940
                                                     conf_pci_mem_io1_out,
941
                                                     conf_pci_mem_io0_out
942
                                                    } ;
943
 
944
wire    [5:0]   pciu_pref_en_in                 =   {
945
                                                     conf_pci_img_ctrl5_out[0],
946
                                                     conf_pci_img_ctrl4_out[0],
947
                                                     conf_pci_img_ctrl3_out[0],
948
                                                     conf_pci_img_ctrl2_out[0],
949
                                                     conf_pci_img_ctrl1_out[0],
950
                                                     conf_pci_img_ctrl0_out[0]
951
                                                    };
952
 
953
wire    [5:0]   pciu_at_en_in                   =   {
954
                                                     conf_pci_img_ctrl5_out[1],
955
                                                     conf_pci_img_ctrl4_out[1],
956
                                                     conf_pci_img_ctrl3_out[1],
957
                                                     conf_pci_img_ctrl2_out[1],
958
                                                     conf_pci_img_ctrl1_out[1],
959
                                                     conf_pci_img_ctrl0_out[1]
960
                                                    } ;
961
 
962 21 mihad
wire            pciu_mem_enable_in              =   conf_mem_space_enable_out ;
963
wire            pciu_io_enable_in               =   conf_io_space_enable_out ;
964 2 mihad
 
965
wire            pciu_wbw_fifo_empty_in          =   wbu_wbw_fifo_empty_out ;
966 21 mihad
wire                    pciu_wbu_del_read_comp_pending_in       =       wbu_del_read_comp_pending_out ;
967
wire            pciu_wbu_frame_en_in            =   out_bckp_frame_en_out ;
968 2 mihad
 
969
`ifdef HOST
970
    wire    [31:0]  pciu_conf_data_in           =   conf_r_data_out ;
971
`else
972
`ifdef GUEST
973
    wire    [31:0]  pciu_conf_data_in           =   conf_w_data_out ;
974
`endif
975
`endif
976
 
977 21 mihad
wire   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_bar0_in =   conf_pci_ba0_out ;
978
wire   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_bar1_in =   conf_pci_ba1_out ;
979
wire   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_bar2_in =   conf_pci_ba2_out ;
980
wire   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_bar3_in =   conf_pci_ba3_out ;
981
wire   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_bar4_in =   conf_pci_ba4_out ;
982
wire   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_bar5_in =   conf_pci_ba5_out ;
983
wire   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_am0_in  =   conf_pci_am0_out ;
984
wire   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_am1_in  =   conf_pci_am1_out ;
985
wire   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_am2_in  =   conf_pci_am2_out ;
986
wire   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_am3_in  =   conf_pci_am3_out ;
987
wire   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_am4_in  =   conf_pci_am4_out ;
988
wire   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_am5_in  =   conf_pci_am5_out ;
989
wire   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_ta0_in  =   conf_pci_ta0_out ;
990
wire   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_ta1_in  =   conf_pci_ta1_out ;
991
wire   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_ta2_in  =   conf_pci_ta2_out ;
992
wire   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_ta3_in  =   conf_pci_ta3_out ;
993
wire   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_ta4_in  =   conf_pci_ta4_out ;
994
wire   [(`PCI_NUM_OF_DEC_ADDR_LINES - 1):0] pciu_ta5_in  =   conf_pci_ta5_out ;
995 2 mihad
 
996 21 mihad
wire    [7:0]   pciu_cache_line_size_in                 =   conf_cache_line_size_to_wb_out ;
997
wire            pciu_cache_lsize_not_zero_in            =   conf_cache_lsize_not_zero_to_wb_out ;
998 2 mihad
 
999 77 mihad
wire            pciu_pciif_frame_in                     =   pci_frame_i ;
1000
wire            pciu_pciif_irdy_in                      =   pci_irdy_i ;
1001
wire            pciu_pciif_idsel_in                     =   pci_idsel_i ;
1002 21 mihad
wire            pciu_pciif_frame_reg_in                 =   in_reg_frame_out ;
1003
wire            pciu_pciif_irdy_reg_in                  =   in_reg_irdy_out ;
1004
wire            pciu_pciif_idsel_reg_in                 =   in_reg_idsel_out ;
1005
wire    [31:0]  pciu_pciif_ad_reg_in                    =   in_reg_ad_out ;
1006
wire    [3:0]   pciu_pciif_cbe_reg_in                   =   in_reg_cbe_out ;
1007 108 tadejm
wire    [3:0]   pciu_pciif_cbe_in                       =   pci_cbe_i ;
1008 2 mihad
 
1009 21 mihad
wire            pciu_pciif_bckp_trdy_en_in              =   out_bckp_trdy_en_out ;
1010
wire            pciu_pciif_bckp_devsel_in               =   out_bckp_devsel_out ;
1011
wire            pciu_pciif_bckp_trdy_in                 =   out_bckp_trdy_out ;
1012
wire            pciu_pciif_bckp_stop_in                 =   out_bckp_stop_out ;
1013
wire            pciu_pciif_trdy_reg_in                  =   in_reg_trdy_out ;
1014
wire            pciu_pciif_stop_reg_in                  =   in_reg_stop_out ;
1015 2 mihad
 
1016 77 mihad
pci_target_unit pci_target_unit
1017 2 mihad
(
1018
    .reset_in                       (reset),
1019
    .wb_clock_in                    (wb_clk),
1020
    .pci_clock_in                   (pci_clk),
1021 115 tadejm
    .pciu_wbm_adr_o                 (pciu_adr_out),
1022
    .pciu_wbm_dat_o                 (pciu_mdata_out),
1023
    .pciu_wbm_dat_i                 (pciu_mdata_in),
1024
    .pciu_wbm_cyc_o                 (pciu_cyc_out),
1025
    .pciu_wbm_stb_o                 (pciu_stb_out),
1026
    .pciu_wbm_we_o                  (pciu_we_out),
1027
    .pciu_wbm_cti_o                 (pciu_cti_out),
1028
    .pciu_wbm_bte_o                 (pciu_bte_out),
1029
    .pciu_wbm_sel_o                 (pciu_sel_out),
1030
    .pciu_wbm_ack_i                 (pciu_ack_in),
1031
    .pciu_wbm_rty_i                 (pciu_rty_in),
1032
    .pciu_wbm_err_i                 (pciu_err_in),
1033 21 mihad
    .pciu_mem_enable_in             (pciu_mem_enable_in),
1034
    .pciu_io_enable_in              (pciu_io_enable_in),
1035
    .pciu_map_in                    (pciu_map_in),
1036
    .pciu_pref_en_in                (pciu_pref_en_in),
1037
    .pciu_conf_data_in              (pciu_conf_data_in),
1038
    .pciu_wbw_fifo_empty_in         (pciu_wbw_fifo_empty_in),
1039
    .pciu_wbu_del_read_comp_pending_in  (pciu_wbu_del_read_comp_pending_in),
1040
    .pciu_wbu_frame_en_in           (pciu_wbu_frame_en_in),
1041
    .pciu_bar0_in                   (pciu_bar0_in),
1042
    .pciu_bar1_in                   (pciu_bar1_in),
1043
    .pciu_bar2_in                   (pciu_bar2_in),
1044
    .pciu_bar3_in                   (pciu_bar3_in),
1045
    .pciu_bar4_in                   (pciu_bar4_in),
1046
    .pciu_bar5_in                   (pciu_bar5_in),
1047
    .pciu_am0_in                    (pciu_am0_in),
1048
    .pciu_am1_in                    (pciu_am1_in),
1049
    .pciu_am2_in                    (pciu_am2_in),
1050
    .pciu_am3_in                    (pciu_am3_in),
1051
    .pciu_am4_in                    (pciu_am4_in),
1052
    .pciu_am5_in                    (pciu_am5_in),
1053
    .pciu_ta0_in                    (pciu_ta0_in),
1054
    .pciu_ta1_in                    (pciu_ta1_in),
1055
    .pciu_ta2_in                    (pciu_ta2_in),
1056
    .pciu_ta3_in                    (pciu_ta3_in),
1057
    .pciu_ta4_in                    (pciu_ta4_in),
1058
    .pciu_ta5_in                    (pciu_ta5_in),
1059
    .pciu_at_en_in                  (pciu_at_en_in),
1060
    .pciu_cache_line_size_in        (pciu_cache_line_size_in),
1061
    .pciu_cache_lsize_not_zero_in   (pciu_cache_lsize_not_zero_in),
1062
    .pciu_pciif_frame_in            (pciu_pciif_frame_in),
1063
    .pciu_pciif_irdy_in             (pciu_pciif_irdy_in),
1064
    .pciu_pciif_idsel_in            (pciu_pciif_idsel_in),
1065
    .pciu_pciif_frame_reg_in        (pciu_pciif_frame_reg_in),
1066
    .pciu_pciif_irdy_reg_in         (pciu_pciif_irdy_reg_in),
1067
    .pciu_pciif_idsel_reg_in        (pciu_pciif_idsel_reg_in),
1068
    .pciu_pciif_ad_reg_in           (pciu_pciif_ad_reg_in),
1069
    .pciu_pciif_cbe_reg_in          (pciu_pciif_cbe_reg_in),
1070 108 tadejm
    .pciu_pciif_cbe_in              (pciu_pciif_cbe_in),
1071 21 mihad
    .pciu_pciif_bckp_trdy_en_in     (pciu_pciif_bckp_trdy_en_in),
1072
    .pciu_pciif_bckp_devsel_in      (pciu_pciif_bckp_devsel_in),
1073
    .pciu_pciif_bckp_trdy_in        (pciu_pciif_bckp_trdy_in),
1074
    .pciu_pciif_bckp_stop_in        (pciu_pciif_bckp_stop_in),
1075
    .pciu_pciif_trdy_reg_in         (pciu_pciif_trdy_reg_in),
1076
    .pciu_pciif_stop_reg_in         (pciu_pciif_stop_reg_in),
1077
    .pciu_pciif_trdy_out            (pciu_pciif_trdy_out),
1078
    .pciu_pciif_stop_out            (pciu_pciif_stop_out),
1079
    .pciu_pciif_devsel_out          (pciu_pciif_devsel_out),
1080
    .pciu_pciif_trdy_en_out         (pciu_pciif_trdy_en_out),
1081
    .pciu_pciif_stop_en_out         (pciu_pciif_stop_en_out),
1082
    .pciu_pciif_devsel_en_out       (pciu_pciif_devsel_en_out),
1083
    .pciu_ad_load_out               (pciu_ad_load_out),
1084
    .pciu_ad_load_on_transfer_out   (pciu_ad_load_on_transfer_out),
1085
    .pciu_pciif_ad_out              (pciu_pciif_ad_out),
1086
    .pciu_pciif_ad_en_out           (pciu_pciif_ad_en_out),
1087
    .pciu_pciif_tabort_set_out      (pciu_pciif_tabort_set_out),
1088
    .pciu_err_addr_out              (pciu_err_addr_out),
1089
    .pciu_err_bc_out                (pciu_err_bc_out),
1090
    .pciu_err_data_out              (pciu_err_data_out),
1091
    .pciu_err_be_out                (pciu_err_be_out),
1092
    .pciu_err_signal_out            (pciu_err_signal_out),
1093
    .pciu_err_source_out            (pciu_err_source_out),
1094
    .pciu_err_rty_exp_out           (pciu_err_rty_exp_out),
1095
    .pciu_conf_offset_out           (pciu_conf_offset_out),
1096
    .pciu_conf_renable_out          (pciu_conf_renable_out),
1097
    .pciu_conf_wenable_out          (pciu_conf_wenable_out),
1098
    .pciu_conf_be_out               (pciu_conf_be_out),
1099
    .pciu_conf_data_out             (pciu_conf_data_out),
1100
    .pciu_conf_select_out           (pciu_conf_select_out),
1101
    .pciu_pci_drcomp_pending_out    (pciu_pci_drcomp_pending_out),
1102
    .pciu_pciw_fifo_empty_out       (pciu_pciw_fifo_empty_out)
1103 62 mihad
 
1104
`ifdef PCI_BIST
1105
    ,
1106 122 markom
    .mbist_si_i       (mbist_so_o_internal),
1107
    .mbist_so_o       (mbist_so_o),
1108
    .mbist_ctrl_i       (mbist_ctrl_i)
1109 62 mihad
`endif
1110 2 mihad
);
1111
 
1112
 
1113
// CONFIGURATION SPACE INPUTS
1114
`ifdef HOST
1115
 
1116
    wire    [11:0]  conf_w_addr_in          =       wbu_conf_offset_out ;
1117
    wire    [31:0]  conf_w_data_in          =       wbu_conf_data_out ;
1118
    wire            conf_w_we_in            =       wbu_conf_wenable_out ;
1119
    wire            conf_w_re_in            =       wbu_conf_renable_out ;
1120
    wire    [3:0]   conf_w_be_in            =       wbu_conf_be_out     ;
1121
    wire            conf_w_clock            =       wb_clk ;
1122 21 mihad
    wire    [11:0]  conf_r_addr_in          =       pciu_conf_offset_out ;
1123
    wire            conf_r_re_in            =       pciu_conf_renable_out ;
1124 2 mihad
 
1125
`else
1126
`ifdef GUEST
1127
 
1128
    wire    [11:0]  conf_r_addr_in          =       wbu_conf_offset_out ;
1129
    wire            conf_r_re_in            =       wbu_conf_renable_out ;
1130
    wire            conf_w_clock            =       pci_clk ;
1131 21 mihad
    wire    [11:0]  conf_w_addr_in          =       pciu_conf_offset_out ;
1132
    wire    [31:0]  conf_w_data_in          =       pciu_conf_data_out ;
1133
    wire            conf_w_we_in            =       pciu_conf_wenable_out ;
1134
    wire            conf_w_re_in            =       pciu_conf_renable_out ;
1135
    wire    [3:0]   conf_w_be_in            =       pciu_conf_be_out ;
1136 2 mihad
 
1137
`endif
1138
`endif
1139
 
1140
 
1141
wire            conf_perr_in                            =   parchk_par_err_detect_out ;
1142
wire            conf_serr_in                            =   parchk_sig_serr_out ;
1143
wire            conf_master_abort_recv_in               =   wbu_mabort_rec_out ;
1144
wire            conf_target_abort_recv_in               =   wbu_tabort_rec_out ;
1145
wire            conf_target_abort_set_in                =   pciu_pciif_tabort_set_out ;
1146
 
1147
wire            conf_master_data_par_err_in             =   parchk_perr_mas_detect_out ;
1148
 
1149
wire    [3:0]   conf_pci_err_be_in      = pciu_err_be_out ;
1150 21 mihad
wire    [3:0]   conf_pci_err_bc_in      = pciu_err_bc_out;
1151
wire            conf_pci_err_es_in      = pciu_err_source_out ;
1152 2 mihad
wire            conf_pci_err_rty_exp_in = pciu_err_rty_exp_out ;
1153
wire            conf_pci_err_sig_in     = pciu_err_signal_out ;
1154
wire    [31:0]  conf_pci_err_addr_in    = pciu_err_addr_out ;
1155
wire    [31:0]  conf_pci_err_data_in    = pciu_err_data_out ;
1156
 
1157
wire    [3:0]   conf_wb_err_be_in       =   out_bckp_cbe_out ;
1158
wire    [3:0]   conf_wb_err_bc_in       =   wbu_err_bc_out ;
1159
wire            conf_wb_err_rty_exp_in  =   wbu_err_rty_exp_out ;
1160
wire            conf_wb_err_es_in       =   wbu_err_source_out ;
1161
wire            conf_wb_err_sig_in      =   wbu_err_signal_out ;
1162
wire    [31:0]  conf_wb_err_addr_in     =   wbu_err_addr_out ;
1163
wire    [31:0]  conf_wb_err_data_in     =   out_bckp_ad_out ;
1164
 
1165 21 mihad
wire            conf_isr_int_prop_in    =   pci_into_conf_isr_int_prop_out ;
1166
wire            conf_par_err_int_in     =   parchk_perr_mas_detect_out ;
1167
wire            conf_sys_err_int_in     =   parchk_sig_serr_out ;
1168 2 mihad
 
1169 77 mihad
pci_conf_space configuration(
1170 21 mihad
                                .reset                      (reset),
1171
                                .pci_clk                    (pci_clk),
1172
                                .wb_clk                     (wb_clk),
1173
                                .w_conf_address_in          (conf_w_addr_in),
1174
                                .w_conf_data_in             (conf_w_data_in),
1175
                                .w_conf_data_out            (conf_w_data_out),
1176
                                .r_conf_address_in          (conf_r_addr_in),
1177
                                .r_conf_data_out            (conf_r_data_out),
1178
                                .w_we                       (conf_w_we_in),
1179
                                .w_re                       (conf_w_re_in),
1180
                                .r_re                       (conf_r_re_in),
1181
                                .w_byte_en                  (conf_w_be_in),
1182
                                .w_clock                    (conf_w_clock),
1183
                                .serr_enable                (conf_serr_enable_out),
1184
                                .perr_response              (conf_perr_response_out),
1185
                                .pci_master_enable          (conf_pci_master_enable_out),
1186
                                .memory_space_enable        (conf_mem_space_enable_out),
1187
                                .io_space_enable            (conf_io_space_enable_out),
1188
                                .perr_in                    (conf_perr_in),
1189
                                .serr_in                    (conf_serr_in),
1190
                                .master_abort_recv          (conf_master_abort_recv_in),
1191
                                .target_abort_recv          (conf_target_abort_recv_in),
1192
                                .target_abort_set           (conf_target_abort_set_in),
1193
                                .master_data_par_err        (conf_master_data_par_err_in),
1194
                                .cache_line_size_to_pci     (conf_cache_line_size_to_pci_out),
1195
                                .cache_line_size_to_wb      (conf_cache_line_size_to_wb_out),
1196
                                .cache_lsize_not_zero_to_wb (conf_cache_lsize_not_zero_to_wb_out),
1197
                                .latency_tim                (conf_latency_tim_out),
1198
                                .pci_base_addr0             (conf_pci_ba0_out),
1199
                                .pci_base_addr1             (conf_pci_ba1_out),
1200
                                .pci_base_addr2             (conf_pci_ba2_out),
1201
                                .pci_base_addr3             (conf_pci_ba3_out),
1202
                                .pci_base_addr4             (conf_pci_ba4_out),
1203
                                .pci_base_addr5             (conf_pci_ba5_out),
1204
                                .pci_memory_io0             (conf_pci_mem_io0_out),
1205
                                .pci_memory_io1             (conf_pci_mem_io1_out),
1206
                                .pci_memory_io2             (conf_pci_mem_io2_out),
1207
                                .pci_memory_io3             (conf_pci_mem_io3_out),
1208
                                .pci_memory_io4             (conf_pci_mem_io4_out),
1209
                                .pci_memory_io5             (conf_pci_mem_io5_out),
1210
                                .pci_addr_mask0             (conf_pci_am0_out),
1211
                                .pci_addr_mask1             (conf_pci_am1_out),
1212
                                .pci_addr_mask2             (conf_pci_am2_out),
1213
                                .pci_addr_mask3             (conf_pci_am3_out),
1214
                                .pci_addr_mask4             (conf_pci_am4_out),
1215
                                .pci_addr_mask5             (conf_pci_am5_out),
1216
                                .pci_tran_addr0             (conf_pci_ta0_out),
1217
                                .pci_tran_addr1             (conf_pci_ta1_out),
1218
                                .pci_tran_addr2             (conf_pci_ta2_out),
1219
                                .pci_tran_addr3             (conf_pci_ta3_out),
1220
                                .pci_tran_addr4             (conf_pci_ta4_out),
1221
                                .pci_tran_addr5             (conf_pci_ta5_out),
1222
                                .pci_img_ctrl0              (conf_pci_img_ctrl0_out),
1223
                                .pci_img_ctrl1              (conf_pci_img_ctrl1_out),
1224
                                .pci_img_ctrl2              (conf_pci_img_ctrl2_out),
1225
                                .pci_img_ctrl3              (conf_pci_img_ctrl3_out),
1226
                                .pci_img_ctrl4              (conf_pci_img_ctrl4_out),
1227
                                .pci_img_ctrl5              (conf_pci_img_ctrl5_out),
1228
                                .pci_error_be               (conf_pci_err_be_in),
1229
                                .pci_error_bc               (conf_pci_err_bc_in),
1230
                                .pci_error_rty_exp          (conf_pci_err_rty_exp_in),
1231
                                .pci_error_es               (conf_pci_err_es_in),
1232
                                .pci_error_sig              (conf_pci_err_sig_in),
1233
                                .pci_error_addr             (conf_pci_err_addr_in),
1234
                                .pci_error_data             (conf_pci_err_data_in),
1235
                                .wb_base_addr0              (conf_wb_ba0_out),
1236
                                .wb_base_addr1              (conf_wb_ba1_out),
1237
                                .wb_base_addr2              (conf_wb_ba2_out),
1238
                                .wb_base_addr3              (conf_wb_ba3_out),
1239
                                .wb_base_addr4              (conf_wb_ba4_out),
1240
                                .wb_base_addr5              (conf_wb_ba5_out),
1241
                                .wb_memory_io0              (conf_wb_mem_io0_out),
1242
                                .wb_memory_io1              (conf_wb_mem_io1_out),
1243
                                .wb_memory_io2              (conf_wb_mem_io2_out),
1244
                                .wb_memory_io3              (conf_wb_mem_io3_out),
1245
                                .wb_memory_io4              (conf_wb_mem_io4_out),
1246
                                .wb_memory_io5              (conf_wb_mem_io5_out),
1247
                                .wb_addr_mask0              (conf_wb_am0_out),
1248
                                .wb_addr_mask1              (conf_wb_am1_out),
1249
                                .wb_addr_mask2              (conf_wb_am2_out),
1250
                                .wb_addr_mask3              (conf_wb_am3_out),
1251
                                .wb_addr_mask4              (conf_wb_am4_out),
1252
                                .wb_addr_mask5              (conf_wb_am5_out),
1253
                                .wb_tran_addr0              (conf_wb_ta0_out),
1254
                                .wb_tran_addr1              (conf_wb_ta1_out),
1255
                                .wb_tran_addr2              (conf_wb_ta2_out),
1256
                                .wb_tran_addr3              (conf_wb_ta3_out),
1257
                                .wb_tran_addr4              (conf_wb_ta4_out),
1258
                                .wb_tran_addr5              (conf_wb_ta5_out),
1259
                                .wb_img_ctrl0               (conf_wb_img_ctrl0_out),
1260
                                .wb_img_ctrl1               (conf_wb_img_ctrl1_out),
1261
                                .wb_img_ctrl2               (conf_wb_img_ctrl2_out),
1262
                                .wb_img_ctrl3               (conf_wb_img_ctrl3_out),
1263
                                .wb_img_ctrl4               (conf_wb_img_ctrl4_out),
1264
                                .wb_img_ctrl5               (conf_wb_img_ctrl5_out),
1265
                                .wb_error_be                (conf_wb_err_be_in),
1266
                                .wb_error_bc                (conf_wb_err_bc_in),
1267
                                .wb_error_rty_exp           (conf_wb_err_rty_exp_in),
1268
                                .wb_error_es                (conf_wb_err_es_in),
1269
                                .wb_error_sig               (conf_wb_err_sig_in),
1270
                                .wb_error_addr              (conf_wb_err_addr_in),
1271
                                .wb_error_data              (conf_wb_err_data_in),
1272
                                .config_addr                (conf_ccyc_addr_out),
1273
                                .icr_soft_res               (conf_soft_res_out),
1274
                                .int_out                    (conf_int_out),
1275
                                .isr_int_prop               (conf_isr_int_prop_in),
1276
                                .isr_par_err_int            (conf_par_err_int_in),
1277
                                .isr_sys_err_int            (conf_sys_err_int_in)
1278 2 mihad
                            ) ;
1279
 
1280
// pci data io multiplexer inputs
1281 21 mihad
wire            pci_mux_tar_ad_en_in            = pciu_pciif_ad_en_out ;
1282
wire            pci_mux_tar_ad_en_reg_in        = out_bckp_tar_ad_en_out ;
1283
wire    [31:0]  pci_mux_tar_ad_in               = pciu_pciif_ad_out ;
1284
wire            pci_mux_devsel_in               = pciu_pciif_devsel_out ;
1285
wire            pci_mux_devsel_en_in            = pciu_pciif_devsel_en_out ;
1286
wire            pci_mux_trdy_in                 = pciu_pciif_trdy_out ;
1287
wire            pci_mux_trdy_en_in              = pciu_pciif_trdy_en_out ;
1288
wire            pci_mux_stop_in                 = pciu_pciif_stop_out ;
1289
wire            pci_mux_stop_en_in              = pciu_pciif_stop_en_out ;
1290
wire            pci_mux_tar_load_in             = pciu_ad_load_out ;
1291
wire            pci_mux_tar_load_on_transfer_in = pciu_ad_load_on_transfer_out ;
1292 2 mihad
 
1293
wire            pci_mux_mas_ad_en_in    = wbu_pciif_ad_en_out ;
1294
wire    [31:0]  pci_mux_mas_ad_in       = wbu_pciif_ad_out ;
1295
 
1296 21 mihad
wire            pci_mux_frame_in                = wbu_pciif_frame_out ;
1297
wire            pci_mux_frame_en_in             = wbu_pciif_frame_en_out ;
1298
wire            pci_mux_irdy_in                 = wbu_pciif_irdy_out;
1299
wire            pci_mux_irdy_en_in              = wbu_pciif_irdy_en_out;
1300
wire            pci_mux_mas_load_in             = wbu_ad_load_out ;
1301
wire            pci_mux_mas_load_on_transfer_in = wbu_ad_load_on_transfer_out ;
1302
wire [3:0]      pci_mux_cbe_in                  = wbu_pciif_cbe_out ;
1303
wire            pci_mux_cbe_en_in               = wbu_pciif_cbe_en_out ;
1304 2 mihad
 
1305
wire            pci_mux_par_in              = parchk_pci_par_out ;
1306 21 mihad
wire            pci_mux_par_en_in           = parchk_pci_par_en_out ;
1307 2 mihad
wire            pci_mux_perr_in             = parchk_pci_perr_out ;
1308
wire            pci_mux_perr_en_in          = parchk_pci_perr_en_out ;
1309
wire            pci_mux_serr_in             = parchk_pci_serr_out ;
1310
wire            pci_mux_serr_en_in          = parchk_pci_serr_en_out;
1311
 
1312 21 mihad
wire            pci_mux_req_in              =   wbu_pciif_req_out ;
1313 2 mihad
wire            pci_mux_frame_load_in       =   wbu_pciif_frame_load_out ;
1314
 
1315 77 mihad
wire            pci_mux_pci_irdy_in         =   pci_irdy_i ;
1316
wire            pci_mux_pci_trdy_in         =   pci_trdy_i ;
1317
wire            pci_mux_pci_frame_in        =   pci_frame_i ;
1318
wire            pci_mux_pci_stop_in         =   pci_stop_i ;
1319 21 mihad
 
1320 77 mihad
pci_io_mux pci_io_mux
1321 2 mihad
(
1322 21 mihad
    .reset_in                   (reset),
1323
    .clk_in                     (pci_clk),
1324
    .frame_in                   (pci_mux_frame_in),
1325
    .frame_en_in                (pci_mux_frame_en_in),
1326
    .frame_load_in              (pci_mux_frame_load_in),
1327
    .irdy_in                    (pci_mux_irdy_in),
1328
    .irdy_en_in                 (pci_mux_irdy_en_in),
1329
    .devsel_in                  (pci_mux_devsel_in),
1330
    .devsel_en_in               (pci_mux_devsel_en_in),
1331
    .trdy_in                    (pci_mux_trdy_in),
1332
    .trdy_en_in                 (pci_mux_trdy_en_in),
1333
    .stop_in                    (pci_mux_stop_in),
1334
    .stop_en_in                 (pci_mux_stop_en_in),
1335
    .master_load_in             (pci_mux_mas_load_in),
1336
    .master_load_on_transfer_in (pci_mux_mas_load_on_transfer_in),
1337
    .target_load_in             (pci_mux_tar_load_in),
1338
    .target_load_on_transfer_in (pci_mux_tar_load_on_transfer_in),
1339
    .cbe_in                     (pci_mux_cbe_in),
1340
    .cbe_en_in                  (pci_mux_cbe_en_in),
1341
    .mas_ad_in                  (pci_mux_mas_ad_in),
1342
    .tar_ad_in                  (pci_mux_tar_ad_in),
1343 2 mihad
 
1344 21 mihad
    .mas_ad_en_in               (pci_mux_mas_ad_en_in),
1345
    .tar_ad_en_in               (pci_mux_tar_ad_en_in),
1346
    .tar_ad_en_reg_in           (pci_mux_tar_ad_en_reg_in),
1347 2 mihad
 
1348 21 mihad
    .par_in                     (pci_mux_par_in),
1349
    .par_en_in                  (pci_mux_par_en_in),
1350
    .perr_in                    (pci_mux_perr_in),
1351
    .perr_en_in                 (pci_mux_perr_en_in),
1352
    .serr_in                    (pci_mux_serr_in),
1353
    .serr_en_in                 (pci_mux_serr_en_in),
1354 2 mihad
 
1355 21 mihad
    .frame_en_out               (pci_mux_frame_en_out),
1356
    .irdy_en_out                (pci_mux_irdy_en_out),
1357
    .devsel_en_out              (pci_mux_devsel_en_out),
1358
    .trdy_en_out                (pci_mux_trdy_en_out),
1359
    .stop_en_out                (pci_mux_stop_en_out),
1360
    .cbe_en_out                 (pci_mux_cbe_en_out),
1361
    .ad_en_out                  (pci_mux_ad_en_out),
1362 2 mihad
 
1363 21 mihad
    .frame_out                  (pci_mux_frame_out),
1364
    .irdy_out                   (pci_mux_irdy_out),
1365
    .devsel_out                 (pci_mux_devsel_out),
1366
    .trdy_out                   (pci_mux_trdy_out),
1367
    .stop_out                   (pci_mux_stop_out),
1368
    .cbe_out                    (pci_mux_cbe_out),
1369
    .ad_out                     (pci_mux_ad_out),
1370
    .ad_load_out                (pci_mux_ad_load_out),
1371
 
1372
    .par_out                    (pci_mux_par_out),
1373
    .par_en_out                 (pci_mux_par_en_out),
1374
    .perr_out                   (pci_mux_perr_out),
1375
    .perr_en_out                (pci_mux_perr_en_out),
1376
    .serr_out                   (pci_mux_serr_out),
1377
    .serr_en_out                (pci_mux_serr_en_out),
1378
    .req_in                     (pci_mux_req_in),
1379
    .req_out                    (pci_mux_req_out),
1380
    .req_en_out                 (pci_mux_req_en_out),
1381
    .pci_irdy_in                (pci_mux_pci_irdy_in),
1382
    .pci_trdy_in                (pci_mux_pci_trdy_in),
1383
    .pci_frame_in               (pci_mux_pci_frame_in),
1384
    .pci_stop_in                (pci_mux_pci_stop_in),
1385
    .ad_en_unregistered_out     (pci_mux_ad_en_unregistered_out)
1386 2 mihad
);
1387
 
1388 77 mihad
pci_cur_out_reg output_backup
1389 2 mihad
(
1390 21 mihad
    .reset_in               (reset),
1391
    .clk_in                 (pci_clk),
1392
    .frame_in               (pci_mux_frame_in),
1393
    .frame_en_in            (pci_mux_frame_en_in),
1394
    .frame_load_in          (pci_mux_frame_load_in),
1395
    .irdy_in                (pci_mux_irdy_in),
1396
    .irdy_en_in             (pci_mux_irdy_en_in),
1397
    .devsel_in              (pci_mux_devsel_in),
1398
    .trdy_in                (pci_mux_trdy_in),
1399
    .trdy_en_in             (pci_mux_trdy_en_in),
1400
    .stop_in                (pci_mux_stop_in),
1401
    .ad_load_in             (pci_mux_ad_load_out),
1402
    .cbe_in                 (pci_mux_cbe_in),
1403
    .cbe_en_in              (pci_mux_cbe_en_in),
1404
    .mas_ad_in              (pci_mux_mas_ad_in),
1405
    .tar_ad_in              (pci_mux_tar_ad_in),
1406 2 mihad
 
1407 21 mihad
    .mas_ad_en_in           (pci_mux_mas_ad_en_in),
1408
    .tar_ad_en_in           (pci_mux_tar_ad_en_in),
1409
    .ad_en_unregistered_in  (pci_mux_ad_en_unregistered_out),
1410
 
1411
    .par_in                 (pci_mux_par_in),
1412
    .par_en_in              (pci_mux_par_en_in),
1413
    .perr_in                (pci_mux_perr_in),
1414
    .perr_en_in             (pci_mux_perr_en_in),
1415
    .serr_in                (pci_mux_serr_in),
1416
    .serr_en_in             (pci_mux_serr_en_in),
1417
 
1418
    .frame_out              (out_bckp_frame_out),
1419
    .frame_en_out           (out_bckp_frame_en_out),
1420
    .irdy_out               (out_bckp_irdy_out),
1421
    .irdy_en_out            (out_bckp_irdy_en_out),
1422
    .devsel_out             (out_bckp_devsel_out),
1423
    .trdy_out               (out_bckp_trdy_out),
1424
    .trdy_en_out            (out_bckp_trdy_en_out),
1425
    .stop_out               (out_bckp_stop_out),
1426
    .cbe_out                (out_bckp_cbe_out),
1427
    .ad_out                 (out_bckp_ad_out),
1428
    .ad_en_out              (out_bckp_ad_en_out),
1429
    .cbe_en_out             (out_bckp_cbe_en_out),
1430
    .tar_ad_en_out          (out_bckp_tar_ad_en_out),
1431
    .mas_ad_en_out          (out_bckp_mas_ad_en_out),
1432
 
1433
    .par_out                (out_bckp_par_out),
1434
    .par_en_out             (out_bckp_par_en_out),
1435
    .perr_out               (out_bckp_perr_out),
1436
    .perr_en_out            (out_bckp_perr_en_out),
1437
    .serr_out               (out_bckp_serr_out),
1438
    .serr_en_out            (out_bckp_serr_en_out)
1439 2 mihad
) ;
1440
 
1441
// PARITY CHECKER INPUTS
1442 77 mihad
wire            parchk_pci_par_in               =   pci_par_i ;
1443
wire            parchk_pci_perr_in              =   pci_perr_i ;
1444 2 mihad
wire            parchk_pci_frame_reg_in         =   in_reg_frame_out ;
1445 21 mihad
wire            parchk_pci_frame_en_in          =   out_bckp_frame_en_out ;
1446 2 mihad
wire            parchk_pci_irdy_en_in           =   out_bckp_irdy_en_out ;
1447 21 mihad
wire            parchk_pci_irdy_reg_in          =   in_reg_irdy_out ;
1448
wire            parchk_pci_trdy_reg_in          =   in_reg_trdy_out ;
1449 2 mihad
 
1450
 
1451 21 mihad
wire            parchk_pci_trdy_en_in           =   out_bckp_trdy_en_out ;
1452 2 mihad
 
1453
 
1454 21 mihad
wire    [31:0]  parchk_pci_ad_out_in            =   out_bckp_ad_out ;
1455 2 mihad
wire    [31:0]  parchk_pci_ad_reg_in            =   in_reg_ad_out ;
1456 77 mihad
wire    [3:0]   parchk_pci_cbe_in_in            =   pci_cbe_i ;
1457 21 mihad
wire    [3:0]   parchk_pci_cbe_reg_in           =   in_reg_cbe_out ;
1458 2 mihad
wire    [3:0]   parchk_pci_cbe_out_in           =   out_bckp_cbe_out ;
1459
wire            parchk_pci_ad_en_in             =   out_bckp_ad_en_out ;
1460
wire            parchk_par_err_response_in      =   conf_perr_response_out ;
1461
wire            parchk_serr_enable_in           =   conf_serr_enable_out ;
1462
 
1463
wire            parchk_pci_perr_out_in          =   out_bckp_perr_out ;
1464
wire            parchk_pci_serr_en_in           =   out_bckp_serr_en_out ;
1465
wire            parchk_pci_serr_out_in          =   out_bckp_serr_out ;
1466
wire            parchk_pci_cbe_en_in            =   out_bckp_cbe_en_out ;
1467
 
1468
wire            parchk_pci_par_en_in            =   out_bckp_par_en_out ;
1469
 
1470 77 mihad
pci_parity_check parity_checker
1471 2 mihad
(
1472
    .reset_in               (reset),
1473
    .clk_in                 (pci_clk),
1474
    .pci_par_in             (parchk_pci_par_in),
1475
    .pci_par_out            (parchk_pci_par_out),
1476
    .pci_par_en_out         (parchk_pci_par_en_out),
1477
    .pci_par_en_in          (parchk_pci_par_en_in),
1478
    .pci_perr_in            (parchk_pci_perr_in),
1479
    .pci_perr_out           (parchk_pci_perr_out),
1480
    .pci_perr_en_out        (parchk_pci_perr_en_out),
1481
    .pci_perr_out_in        (parchk_pci_perr_out_in),
1482
    .pci_serr_out           (parchk_pci_serr_out),
1483
    .pci_serr_out_in        (parchk_pci_serr_out_in),
1484
    .pci_serr_en_out        (parchk_pci_serr_en_out),
1485
    .pci_serr_en_in         (parchk_pci_serr_en_in),
1486
    .pci_frame_reg_in       (parchk_pci_frame_reg_in),
1487
    .pci_frame_en_in        (parchk_pci_frame_en_in),
1488
    .pci_irdy_en_in         (parchk_pci_irdy_en_in),
1489
    .pci_irdy_reg_in        (parchk_pci_irdy_reg_in),
1490
    .pci_trdy_reg_in        (parchk_pci_trdy_reg_in),
1491
    .pci_trdy_en_in         (parchk_pci_trdy_en_in),
1492
    .pci_ad_out_in          (parchk_pci_ad_out_in),
1493
    .pci_ad_reg_in          (parchk_pci_ad_reg_in),
1494
    .pci_cbe_in_in          (parchk_pci_cbe_in_in),
1495 21 mihad
    .pci_cbe_reg_in         (parchk_pci_cbe_reg_in),
1496 2 mihad
    .pci_cbe_en_in          (parchk_pci_cbe_en_in),
1497
    .pci_cbe_out_in         (parchk_pci_cbe_out_in),
1498
    .pci_ad_en_in           (parchk_pci_ad_en_in),
1499
    .par_err_response_in    (parchk_par_err_response_in),
1500
    .par_err_detect_out     (parchk_par_err_detect_out),
1501
    .perr_mas_detect_out    (parchk_perr_mas_detect_out),
1502
    .serr_enable_in         (parchk_serr_enable_in),
1503
    .sig_serr_out           (parchk_sig_serr_out)
1504
);
1505
 
1506 77 mihad
wire            in_reg_gnt_in    = pci_gnt_i ;
1507
wire            in_reg_frame_in  = pci_frame_i ;
1508
wire            in_reg_irdy_in   = pci_irdy_i ;
1509
wire            in_reg_trdy_in   = pci_trdy_i ;
1510
wire            in_reg_stop_in   = pci_stop_i ;
1511
wire            in_reg_devsel_in = pci_devsel_i ;
1512
wire            in_reg_idsel_in  = pci_idsel_i ;
1513
wire    [31:0]  in_reg_ad_in     = pci_ad_i ;
1514
wire    [3:0]   in_reg_cbe_in    = pci_cbe_i ;
1515 2 mihad
 
1516 77 mihad
pci_in_reg input_register
1517 2 mihad
(
1518
    .reset_in       (reset),
1519
    .clk_in         (pci_clk),
1520 21 mihad
 
1521 2 mihad
    .pci_gnt_in     (in_reg_gnt_in),
1522
    .pci_frame_in   (in_reg_frame_in),
1523
    .pci_irdy_in    (in_reg_irdy_in),
1524
    .pci_trdy_in    (in_reg_trdy_in),
1525
    .pci_stop_in    (in_reg_stop_in),
1526
    .pci_devsel_in  (in_reg_devsel_in),
1527 21 mihad
    .pci_idsel_in   (in_reg_idsel_in),
1528 2 mihad
    .pci_ad_in      (in_reg_ad_in),
1529
    .pci_cbe_in     (in_reg_cbe_in),
1530 21 mihad
 
1531 2 mihad
    .pci_gnt_reg_out    (in_reg_gnt_out),
1532
    .pci_frame_reg_out  (in_reg_frame_out),
1533
    .pci_irdy_reg_out   (in_reg_irdy_out),
1534
    .pci_trdy_reg_out   (in_reg_trdy_out),
1535
    .pci_stop_reg_out   (in_reg_stop_out),
1536
    .pci_devsel_reg_out (in_reg_devsel_out),
1537 21 mihad
    .pci_idsel_reg_out  (in_reg_idsel_out),
1538 2 mihad
    .pci_ad_reg_out     (in_reg_ad_out),
1539
    .pci_cbe_reg_out    (in_reg_cbe_out)
1540
);
1541
 
1542 21 mihad
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.