OpenCores
URL https://opencores.org/ocsvn/pci/pci/trunk

Subversion Repositories pci

[/] [pci/] [tags/] [rel_12/] [sim/] [rtl_sim/] [bin/] [ncvlog_sim.args] - Blame information for rev 154

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 16 mihad
-cdslib ../bin/cds.lib
2
-hdlvar ../bin/hdl.var
3
-logfile ../log/ncvlog_sim.log
4
-update
5
-messages
6
-INCDIR ../../../bench/verilog
7
-INCDIR ../../../rtl/verilog
8
../../../bench/verilog/wb_master32.v
9
../../../bench/verilog/wb_master_behavioral.v
10
../../../bench/verilog/system.v
11
../../../bench/verilog/pci_blue_arbiter.v
12
../../../bench/verilog/pci_bus_monitor.v
13
../../../bench/verilog/pci_behaviorial_device.v
14
../../../bench/verilog/pci_behaviorial_master.v
15
../../../bench/verilog/pci_behaviorial_target.v
16
../../../bench/verilog/wb_slave_behavioral.v
17
../../../bench/verilog/wb_bus_mon.v
18
../../../bench/verilog/pci_behavioral_iack_target.v
19
../../../bench/verilog/pci_unsupported_commands_master.v

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.